مشخصات کلی فناوری تولید ریز مدار فناوری ساخت مدارهای مجتمع نیمه هادی فناوری ساخت آی سی

وزارت آموزش و پرورش فدراسیون روسیه

دانشگاه فنی دولتی اوریول

بخش PTEiVS

کار دوره

با موضوع: " فناوری ساخت تراشه های مدار مجتمع نیمه هادی »

رشته: "علوم مواد و مواد الکترونیکی"

تکمیل شده توسط دانش آموز گروه 31-R

کوزلوف A.N.

سر Koschinskaya E.V.

عقاب، 2004

معرفی

بخش اول. بررسی تحلیلی

1.1 مدارهای مجتمع

1.2 الزامات برای بسترهای نیمه هادی

1.3 ویژگی های سیلیکون تک کریستالی

1.4 دلیل استفاده از سیلیکون تک کریستالی

1.5 فناوری برای تولید سیلیکون تک کریستالی

1.5.1 بدست آوردن سیلیکون خلوص نیمه هادی

1.5.2 رشد تک کریستال ها

1.6 پردازش مکانیکی سیلیکون تک کریستالی

1.6.1 کالیبراسیون

1.6.2 جهت گیری

1.6.3 برش

1.6.4 سنگ زنی و پرداخت

1.6.5 حکاکی شیمیایی ویفرها و بسترهای نیمه هادی

1.7 عملیات تقسیم بستر به تخته

1.7.1 خط نویسی الماس

1.7.2 خط نویسی لیزری

1.8 شکستن ویفر به کریستال

قسمت دوم. محاسبه

نتیجه

فناوری ساخت مدارهای مجتمع مجموعه ای از روش های مکانیکی، فیزیکی و شیمیایی برای پردازش مواد مختلف (نیمه هادی ها، دی الکتریک ها، فلزات) است که در نتیجه یک مدار مجتمع ایجاد می شود.

افزایش بهره وری نیروی کار در درجه اول به دلیل بهبود فناوری، معرفی روش های پیشرفته تکنولوژیکی، استانداردسازی تجهیزات و ابزارهای فن آوری و مکانیزه شدن کار دستی بر اساس اتوماسیون فرآیندهای فناوری است. اهمیت فناوری در تولید دستگاه های نیمه هادی و آی سی ها بسیار زیاد است. این پیشرفت مداوم فناوری دستگاه های نیمه هادی بود که در مرحله خاصی از توسعه آن منجر به ایجاد مدارهای مجتمع و متعاقباً تولید گسترده آنها شد.

تولید آی سی ها در حدود سال 1959 بر اساس فناوری مسطح ارائه شده در آن زمان آغاز شد. اساس فناوری مسطح توسعه چندین روش تکنولوژیکی اساسی بود. همزمان با توسعه روش های تکنولوژیکی، توسعه IS شامل تحقیق در مورد اصول عملکرد عناصر آنها، اختراع عناصر جدید، بهبود روش های تصفیه مواد نیمه هادی، انجام مطالعات فیزیکی و شیمیایی آنها به منظور ایجاد چنین ویژگی های مهمی بود. به عنوان حلالیت محدود ناخالصی ها، ضرایب انتشار ناخالصی های دهنده و پذیرنده و غیره.

در یک دوره کوتاه تاریخی، میکروالکترونیک مدرن به یکی از مهمترین حوزه های پیشرفت علمی و فناوری تبدیل شده است. ایجاد مدارهای مجتمع بزرگ و فوق العاده بزرگ، ریزپردازنده ها و سیستم های ریزپردازنده امکان سازماندهی تولید انبوه رایانه های الکترونیکی پرسرعت، انواع تجهیزات الکترونیکی، تجهیزات کنترل فرآیند، سیستم های ارتباطی، سیستم ها و دستگاه های کنترل و تنظیم خودکار را فراهم کرد.

میکروالکترونیک هم در جهت بهبود فناوری یکپارچه نیمه هادی و هم در جهت استفاده از پدیده های فیزیکی جدید با سرعتی سریع به توسعه خود ادامه می دهد.

1.6.1 کالیبراسیون

کالیبراسیون تک بلورهای مواد نیمه هادی. اطمینان حاصل می کند که به آنها یک شکل کاملاً استوانه ای و یک قطر مشخص داده می شود. کالیبراسیون تک کریستال های نیمه هادی اغلب با روش سنگ زنی استوانه ای در ماشین های سنگ زنی استوانه ای جهانی مجهز به چرخ سنگ زنی الماس با اندازه دانه تعیین شده 50/40 انجام می شود (کسر اصلی 40 میکرون و مقدار کسری درشت 50 است. اندازه میکرون، بیش از 15٪ نیست. قبل از عملیات کالیبراسیون، مخروط های فلزی ("مرکزها") با استفاده از ماستیک چسب به انتهای تک بلور چسبانده می شوند تا محور آنها با محور طولی تک کریستال منطبق شود.

پس از کالیبراسیون، بسته به نرخ تغذیه طولی، یک لایه آسیب دیده با عمق 50 ... 250 میکرومتر بر روی سطح تک کریستال تشکیل می شود. وجود آن در حاشیه زیرلایه ها می تواند باعث ظاهر تراشه ها شود و در طول پردازش های بعدی در دمای بالا منجر به ایجاد نقص های ساختاری در مناطق مرکزی بستر شود. برای حذف لایه آسیب دیده، تک کریستال های نیمه هادی که تحت عملیات کالیبراسیون قرار گرفته اند، تحت حکاکی شیمیایی قرار می گیرند.

6.2 جهت گیری

در طول رشد تک بلورها، اختلاف بین محور شمش و محور کریستالوگرافی مشاهده می شود. برای به دست آوردن صفحاتی که در یک صفحه معین قرار گرفته اند، شمش ها قبل از برش جهت گیری می شوند. روش های جهت گیری کریستال بر اساس ماهیت، نوع قطعه و هدف عملکردی آن تعیین می شود. دی الکتریک های همسانگرد نوری برای در نظر گرفتن تأثیر ویژگی های تکنولوژیکی کریستال بر دقت پارامترهای قطعه جهت گیری می شوند. برای دی الکتریک های ناهمسانگرد، موقعیت سطوح انکساری و بازتابی قطعه به تبدیل مورد نیاز شار نور بستگی دارد. جهت گیری نیمه هادی ها شامل تعیین صفحه کریستالوگرافی است که در آن ماده دارای خواص الکتریکی مشخص است. جهت گیری نیمه هادی ها با روش های اشعه ایکس یا نوری انجام می شود.

روش اشعه ایکس بر اساس بازتاب اشعه ایکس از سطح یک ماده نیمه هادی است. شدت بازتاب به چگالی بسته بندی اتم ها در یک صفحه معین بستگی دارد. یک صفحه کریستالوگرافی که با اتم‌ها متراکم‌تر است، با شدت بالاتر بازتاب پرتو مطابقت دارد. صفحات کریستالوگرافی مواد نیمه رسانا با زوایای خاصی از انعکاس پرتوهای ایکس که بر روی آنها فرود می آیند مشخص می شوند. مقادیر این زاویه ها برای سیلیکون: (111) -17°56" (110) - 30° 12" (100) - 44°23"

روش پراش اشعه ایکس بر اساس اندازه گیری زاویه بازتاب پرتو ایکس مشخصه از یک صفحه مشخص است. برای این منظور، از پراش سنج های پرتو ایکس همه منظوره استفاده می شود، به عنوان مثال، نوع DRON-1.5، یا تاسیسات اشعه ایکس، به عنوان مثال، نوع URS-50I (M) و موارد دیگر، مجهز به گونیومتر و دستگاه های اشعه ایکس. که چرخش تک کریستال افقی را حول یک محور با سرعت معین تضمین می کند.

هنگام انجام اندازه‌گیری‌ها، پرتو اشعه ایکس بر روی برش انتهایی تک کریستال به زاویه بازتاب براگ p هدایت می‌شود. شمارنده اشعه ایکس (Geiger) در زاویه 2p نسبت به پرتو فرودی قرار می گیرد. اگر صفحه جهت دار، مثلاً (111)، زاویه معینی ایجاد کند و با برش انتهایی تک بلور، با چرخش تک بلور در همان زاویه، بازتابی از آن حاصل می شود.

زاویه بازتاب نسبت به دو محور عمود بر یکدیگر تعیین می شود که یکی از آنها در صفحه نقشه قرار دارد (شکل 3).

شکل 3 - طرح جهت گیری تک بلورهای نیمه هادی با استفاده از روش اشعه ایکس: 1-تابش پرتو ایکس. 2- تک کریستال; 3 - اشعه ایکس منعکس شده: 4 - شمارنده گایگر

روش نوری مبتنی بر این واقعیت است که ارقام اچ بر روی سطح نیمه هادی حکاکی شده در یک اچانت انتخابی ظاهر می شوند که پیکربندی آن با جهت گیری کریستالوگرافی آن تعیین می شود. در سطح (111) شکل اهرام سه وجهی و در سطح (100) چهار وجهی است. هنگامی که چنین سطحی به یک پرتو نور موازی مجهز شود، پرتوهای بازتاب شده، اشکال نوری را روی صفحه تشکیل می دهند.

بسته به شدت انحراف صفحه برش انتهایی تک کریستال از صفحه (hkl)، شکل نوری تشکیل شده توسط پرتو بازتابی نور از مرکز صفحه نزدیک یا دورتر خواهد بود. با بزرگی انحراف شکل نور از تقسیم صفر صفحه، زاویه انحراف تعیین می شود و صفحه انتهای تک بلور از صفحه (hkl). سپس، با چرخاندن تک کریستال 90 درجه، یک زاویه دیگر P تعیین می شود. پس از تکمیل جهت گیری تک کریستال، فلشی به انتهای آن با کاتر کاربید زده می شود که جهت آن نشان می دهد صفحه مورد نیاز در کدام جهت از انتهای تک کریستال منحرف شده است. دقت جهت گیری تک بلورهای نیمه هادی با روش اشعه ایکس ± (2...6)" و با روش نوری ±(15...30)" است.

1.6.3 برش

جدول 2 - مشخصات مقایسه ای مواد ساینده

الماس سخت ترین ماده است. هنگام پردازش سیلیکون، از الماس طبیعی و مصنوعی استفاده می شود که از نظر خواص مکانیکی نسبت به اولی پایین تر است. گاهی اوقات از کاربیدهای بور B 4 C و سیلیکون SiC و همچنین الکتروکوروندوم Al 2 O 3 استفاده می شود. در حال حاضر، هنگام برش شمش های سیلیکونی به ویفر، از دیسک های فلزی با لبه برش الماس داخلی به عنوان ابزار برش استفاده می شود..


شکل 5 - نمودار نصب برای برش با دیسک الماس: الف - روش برش داخلی; ب - روش برش شانه (1 - درام؛ 2 - دیسک؛ 3 - پوشش الماس؛ 4 - سنبه؛ 5 - صفحه؛ 6 - شمش)

سطح ویفرهای به دست آمده پس از برش الزامات کیفیت سطح سیلیکونی با استفاده از فناوری مسطح را برآورده نمی کند. با استفاده از اسکنر پراش الکترونی، وجود لایه های نزدیک به سطح که ساختار تک کریستالی ندارند مشخص می شود. ضخامت لایه آسیب دیده پس از برش با دیسک 10 - 30 میکرون است که بستگی به سرعت چرخش دیسک دارد. از آنجایی که در یک IC عمقی که اتصالات p-n در آن قرار دارند واحد و دهم میکرون است، وجود لایه های آسیب دیده با ضخامت 10 تا 30 میکرون غیرقابل قبول است. ریز زبری روی سطح نباید از 0.02 - 0.1 میکرون تجاوز کند. علاوه بر این، فتولیتوگرافی موازی صفحه ویفر باید به جای 10 میکرومتر پس از برش، در 1± میکرومتر در عرض قطر ویفر حفظ شود.

6.4 سنگ زنی و پرداخت

برای اطمینان از کیفیت مورد نیاز، سطوح صفحات باید بیشتر پردازش شوند. این درمان شامل آسیاب و سپس صیقل دادن صفحات است. سنگ زنی و پرداخت صفحات بر روی ماشین های سنگ زنی سطحی دقیق با استفاده از مواد ساینده با اندازه دانه حدود 40 میکرون (ریز پودرها) انجام می شود. اغلب از گروه های میکروپودر با دانه های 14 میکرون یا کمتر استفاده می شود. جدول 3 مارک ها و اندازه دانه های کسر اصلی ریز پودرهای مورد استفاده را نشان می دهد. ریزپودرهای M14، M10، M7، M5 از بور، سیلیکون و کاربیدهای الکتروکوندوم ساخته شده‌اند، ریزپودرهای درجه‌های ASM از الماس ساخته می‌شوند.

جدول 3 - میکروپودرهای آسیاب و صیقل دادن ویفرهای سیلیکونی

بسته به نوع میکروپودر، مواد سطحی آسیاب انتخاب می شود. هنگام سنگ زنی صفحات با میکروپودرهای M14-M15، هنگام پرداخت با میکروپودرهای ASM، از آسیاب شیشه ای استفاده می شود. هنگام پردازش صفحات، سه سر با صفحات چسب دار روی آسیاب کار نصب می شود. سرها توسط براکت های راهنمای مخصوص با غلتک های نگهدارنده از حرکت در اطراف آسیاب جلوگیری می کنند (شکل 6). به دلیل نیروی اصطکاک ایجاد شده بین سطوح تماس آسیاب کاری و سرها، دومی ها حول محورهای خود می چرخند. این چرخش سرها شرایطی را برای سنگ زنی یا پرداخت یکنواخت ایجاد می کند.

جدول 4 - ویژگی های ریزپودرها

نوع پودری ضخامت لایه آسیب دیده، میکرومتر سرعت حذف مواد، میکرومتر بر دقیقه کلاس زبری سطح
M14 20 – 30 3 7
M10 15 – 25 1,5 8 – 9
ASM3/2 9 – 11 0,5 – 1,0 12 – 13
ASM1/0.5 5 – 7 0,35 13
ASM0.5/0.3 کمتر از 3 0,25 13 – 14
ASM0.3/0.1 کمتر از 3 0,2 14

شکل 6- نمودار ماشین سنگ زنی سطحی و محل قرارگیری هدها : 1- دستگاه دوز با سوسپانسیون ساینده ; 2- محموله ; 3- سر ; 4- بشقاب ها ; 5- خرد کننده ; 6- غلتک راهنما

به طور کلی، پردازش مکانیکی ویفرهایی که الزامات فناوری مسطح را برآورده می کنند منجر به تلفات سیلیکونی زیادی (حدود 65٪) می شود.

6.5 حکاکی شیمیایی ویفرها و بسترهای نیمه هادی

با حذف یک لایه سطحی با ساختار کریستالی آسیب دیده مکانیکی همراه است که به همراه آن آلاینده های موجود در سطح نیز حذف می شوند. ترشی یک عملیات تکنولوژیکی اجباری است.

حکاکی اسیدی نیمه هادی ها، مطابق با تئوری شیمیایی، در چند مرحله انجام می شود: انتشار معرف به سطح، جذب معرف توسط سطح، واکنش های شیمیایی سطح، دفع محصولات واکنش و انتشار آنها از سطح.

اچ‌کننده‌هایی که کندترین مراحلی که فرآیند کلی حکاکی را تعیین می‌کنند، انتشار هستند، پولیش نامیده می‌شوند. آنها نسبت به ناهمگنی های فیزیکی و شیمیایی سطح حساس نیستند، زبری را صاف می کنند، ریزرلیف را صاف می کنند. سرعت اچ در اچانت های پولیش به میزان قابل توجهی به ویسکوزیته و اختلاط اچانت بستگی دارد و بستگی کمی به دما دارد.

اچ‌کننده‌هایی که کندترین مراحل آن‌ها واکنش‌های شیمیایی سطحی است، انتخابی نامیده می‌شوند. سرعت اچ در اچانت های انتخابی به دما، ساختار و جهت کریستالوگرافی سطح بستگی دارد و مستقل از ویسکوزیته و اختلاط اچانت است. اچانت های انتخابی با تفاوت زیاد در میزان اچینگ در جهات مختلف کریستالوگرافی معمولاً ناهمسانگرد نامیده می شوند.

واکنش های شیمیایی سطح در حین اچینگ پولیش در دو مرحله اکسیداسیون لایه سطحی نیمه هادی و تبدیل اکسید به ترکیبات محلول انجام می شود. هنگام اچ کردن سیلیکون، اسید نیتریک نقش یک عامل اکسید کننده را بازی می کند:

اسید هیدروفلوریک (هیدروفلوریک) که بخشی از اچانت است، اکسید سیلیکون را به تترا فلوراید سیلیکون تبدیل می کند:

برای اچینگ، که سطح آینه ای از صفحات را می دهد، مخلوطی از اسیدهای نشان داده شده به نسبت 3: 1 استفاده می شود، دمای اچ 30 ... 40 درجه سانتیگراد است، زمان اچ کردن حدود 15 ثانیه است.


شکستن صفحات خطی یک عملیات بسیار مهم است. اگر حتی صفحاتی که به خوبی نوشته شده اند به اشتباه شکسته شوند، نقص هایی رخ می دهد: خراش، تراشه، اعوجاج شکل کریستال و غیره.

7.1 خط نویسی الماس

کیفیت خط زدن و شکستن بعدی تا حد زیادی به وضعیت قسمت کار الماس برش بستگی دارد. کار با کاتر با لبه برش یا نوک فرسوده منجر به بریدگی در حین خط زدن و شکستن بی کیفیت می شود. به طور معمول، خط کشی با برش های ساخته شده از الماس طبیعی انجام می شود. که در مقایسه با برش های الماس مصنوعی ارزان تر، گران تر هستند. کاترها گسترده شده اند و دارای یک قسمت برش به شکل یک هرم چهار وجهی سه وجهی یا کوتاه شده اند (شکل 7، ج) که عناصر برش دنده های آن هستند.

7.2 خط نویسی لیزری

در طول خط زدن لیزر (شکل 8)، علائم جدایی بین ساختارهای تمام شده با تبخیر یک نوار باریک از مواد نیمه هادی از سطح ویفر در حالی که نسبت به پرتو لیزر متمرکز حرکت می کند، ایجاد می شود. این منجر به تشکیل شیارهای نسبتا عمیق (تا 50...100 میکرومتر) و باریک (تا 25...40 میکرومتر) در صفحه می شود. شیار، باریک و عمیق شکل، نقش متمرکز کننده تنش مکانیکی را ایفا می کند. هنگامی که صفحه شکسته می شود، تنش های حاصل منجر به ایجاد ترک هایی در پایین شیار می شود که در تمام ضخامت صفحه منتشر می شود و در نتیجه به کریستال های جداگانه جدا می شود.

همراه با ایجاد یک شیار تقسیم عمیق، مزیت خط نویسی لیزری بهره وری بالای آن (100...200 میلی متر بر ثانیه)، عدم وجود ریزترک و تراشه بر روی ویفر نیمه هادی است. یک ژنراتور کوانتومی نوری پالسی با نرخ تکرار پالس 5...50 کیلوهرتز و مدت زمان پالس 0.5 میلی ثانیه به عنوان ابزار برش استفاده می شود.

شکل 8 - طرح خط نویسی لیزری یک ویفر نیمه هادی

8 شکستن ویفر به کریستال

شکستن ویفر به کریستال پس از خط کشی به صورت مکانیکی با اعمال یک لنگر خمشی روی آن انجام می شود. عدم وجود عیوب کریستال به نیروی اعمال شده بستگی دارد که به نسبت ابعاد کلی و ضخامت کریستال ها بستگی دارد.


شکل 10 - شکستن ویفر نیمه هادی با غلتش بین غلتک ها: 1 - ویفر; 2 - غلتک الاستیک؛ 3 - فیلم محافظ؛ 4 - غلتک فولادی; 5 - فیلم حامل

صفحه 1 که با علامت ها به سمت بالا قرار دارد، بین دو غلتک استوانه ای نورد می شود: الاستیک بالایی (لاستیک) 2 و فولاد پایینی 4. برای حفظ جهت اصلی کریستال ها، صفحه روی یک فیلم حامل گرمانرم یا چسب 5 ثابت می شود. و سطح کار آن با پلی اتیلن یا فیلم لاوسان 3 محافظت می شود. فاصله بین غلتک ها که بر اساس ضخامت صفحه تعیین می شود، با حرکت یکی از آنها تعیین می شود.

هنگام شکستن روی یک تکیه گاه کروی (شکل 11)، صفحه 2، که بین دو لایه پلاستیکی نازک قرار دارد، با علامت هایی روی دیافراگم لاستیکی 3 قرار می گیرد، تکیه گاه کروی 1 از بالا وارد می شود و با استفاده از دیافراگم، صفحه روی آن فشرده می شود. آن را با استفاده از روش های پنوماتیک و هیدرولیک، که به کریستال های جداگانه شکسته است. از مزایای این روش می توان به سادگی، بهره وری بالا (شکستن بیش از 1-1.5 دقیقه طول نمی کشد) و ماهیت تک مرحله ای و همچنین کیفیت نسبتاً بالا اشاره کرد. کریستال ها نسبت به یکدیگر حرکت نمی کنند.

جدول 5 - عمق لایه آسیب دیده ویفرهای سیلیکونی پس از انواع مختلف عملیات مکانیکی

قسمت دوم. محاسبه

تعیین کل کمک هزینه برای پردازش مکانیکی

Z=Z GSh +Z TS +Z PP +Z FP،

که در آن Z مجموع مقدار مجاز برای پردازش است، Z GSh سهمیه برای سنگ زنی خشن، Z TS کمک هزینه برای سنگ زنی ریز، Z PP کمک هزینه برای پرداخت اولیه، Z FP کمک هزینه برای پرداخت تکمیل است.

m ∑ = ρ* l ∑ * S،

جایی که S مساحت قطعه کار است، ρ= 2.3 گرم بر سانتی متر چگالی سیلیکون است.

m ∑ = 2.3 * 10 3 * 696.21 * 10 -6 * 0.0177 = 0.0283 کیلوگرم

وزن قطعه کار فرآوری شده:

m= 2.3* 10 3 * 550* 10 -6 * 0.0177 = 0.0223 کیلوگرم

M P = (N* m) / n،

که در آن M P جرم مفید ماده است.


k IM = M P / M،

که در آن k IM ضریب استفاده از مواد است.

K MI = 11.903/16.479 = 0.722

نتیجه

در کار دوره، یک فرآیند تکنولوژیکی برای تولید تراشه های مدار مجتمع نیمه هادی از سیلیکون تک کریستالی توسعه داده شد. در عین حال، ضریب استفاده از مواد برای شرایط تولید در نظر گرفته شده 0.722 بود. این نشان می دهد که قابلیت ساخت تولید در سطح نسبتاً بالایی است، به ویژه در مرحله پردازش قطعات کار، زیرا بازده مناسب برای پردازش 81٪ است. نرخ استفاده از مواد بسیار بالا است، اگرچه این فرآیند تکنولوژیکی نسبتاً اخیراً وارد تولید شده است.

کتابشناسی - فهرست کتب

1. Berezin A.S., Mochalkina O.R.: فناوری و طراحی مدارهای مجتمع. - م. رادیو و ارتباطات، 1362. - 232 ص.، ill.

2. Gotra Z. Yu. فناوری دستگاه های میکروالکترونیک: هندبوک. - م.: رادیو و ارتباطات، 1370. - 528 ص: ill.

3. Koledov L. A. فناوری و طراحی ریزمدارها، ریزپردازنده ها و ریز مونتاژها: کتاب درسی برای دانشگاه ها. - م.: رادیو و ارتباطات، 1368. - 400 pp., ill.

4. طراحی و فناوری ریز مدارها. طراحی دوره: ویرایش. L. A. Koledova. - م.: بالاتر. مدرسه، 1984. - 231 ص.، ill.

5. StepanenkoI. ص. مبانی میکروالکترونیک: کتاب درسی برای دانشگاه ها. - ویرایش دوم، تجدید نظر شده. و اضافی - م.: آزمایشگاه دانش پایه، 1379 - 488 ص.، ص.

6. Chernyaev V. N. فناوری تولید مدارهای مجتمع و ریزپردازنده ها: کتاب درسی برای دانشگاه ها. - ویرایش دوم، تجدید نظر شده. و اضافی - م.: رادیو و ارتباطات، 1366. - 464 ص: ill.

معرفی

1.بررسی تحلیلی

2. بخش فن آوری

1 شرح فرآیند فن آوری

2 انتخاب یک کلاس از محل تولید

3 مواد اولیه و معرف

4 عملیات تکنولوژیکی اساسی

4.1 تمیز کردن بستر

4.2 اکسیداسیون حرارتی

4.3 فرآیندهای لیتوگرافی

4.4 کاشت یون

4.5 متالیزاسیون

4.6 عایق بین لایه ای

3. محاسبات مهندسی و اقتصادی

نتیجه


معرفی

فناوری مدارهای مجتمع با سرعتی بسیار سریع توسعه یافته و به موفقیت های باورنکردنی دست یافته است. الکترونیک چندین مرحله از توسعه را پشت سر گذاشته است که طی آن چندین نسل از پایه عنصر تغییر کرده است: الکترونیک گسسته دستگاه های خلاء الکتریکی، الکترونیک یکپارچه ریز مدارها (میکروالکترونیک)، الکترونیک یکپارچه دستگاه های میکروالکترونیک عملکردی (ریز الکترونیک عملکردی). در حال حاضر، نقش تعیین کننده ای در بهبود تقریباً تمام بخش های اقتصاد ملی ایفا می کند (مدارهای مجتمع در رایانه ها، سیستم های طراحی به کمک رایانه، روبات های صنعتی، ارتباطات و غیره استفاده می شوند).

فرآیندهای تکنولوژیکی مورد استفاده در ساخت مدارهای مجتمع نیمه هادی (ICs) ماهیت گروهی دارند، یعنی. تعداد زیادی آی سی به طور همزمان تولید می شوند. بسیاری از عملیات های تکنولوژیکی امکان پردازش تا 200 ویفر را فراهم می کند که امکان تولید همزمان بیش از یک میلیون دستگاه الکترونیکی را فراهم می کند.

برای تحقق امکانات بزرگ فناوری مسطح، لازم است تعداد قابل توجهی از الزامات تولید عمومی و شرایط تکنولوژیکی خاصی که تولید نمونه های با کیفیت بالا از محصولات نیمه تمام را در تمام مراحل تکنولوژیکی تضمین می کند، برآورده شود. و این غیرممکن است بدون استفاده از مواد اولیه و کمکی مخصوصاً خالص، اختصاص داده شده به کلاس ویژه "برای تولید نیمه هادی"، تجهیزات فنی و کنترل دقیق، و تأسیسات تولیدی که چنین الزامات بالای بهداشت تکنولوژیکی را برآورده می کنند که در هیچ چیز دیگری یافت نمی شود. صنایع

هدف این پروژه بررسی تکنیک‌های فن‌آوری مدرن در تولید محصولات الکترونیکی حالت جامد و توسعه یک فرآیند فن‌آوری پایان به انتها برای ساخت ترانزیستور MOS با دیود شاتکی است.

مدار مجتمع ترانزیستور

1. بررسی تحلیلی

ترانزیستور اثر میدانی گیت عایق، ترانزیستور اثر میدانی است که گیت آن توسط یک لایه دی الکتریک از کانال جدا می شود. یک ترانزیستور اثر میدان گیت عایق از یک ویفر نیمه هادی (زیر لایه) با مقاومت نسبتاً بالا تشکیل شده است که در آن دو ناحیه با انواع رسانایی الکتریکی مخالف ایجاد می شود. الکترودهای فلزی در این مناطق اعمال می شود - منبع و تخلیه. سطح نیمه هادی بین منبع و تخلیه با یک لایه نازک دی الکتریک (معمولاً یک لایه اکسید سیلیکون) پوشیده شده است. یک الکترود فلزی - یک دروازه - به لایه دی الکتریک اعمال می شود. نتیجه ساختاری متشکل از یک فلز، یک دی الکتریک و یک نیمه هادی است (شکل 1). بنابراین، ترانزیستورهای اثر میدانی با گیت عایق معمولاً ترانزیستور MOS یا ترانزیستور MOS (نیم‌رسانا اکسید فلز (اکسید) نامیده می‌شوند.

شکل 1 - توپولوژی و عناصر اصلی ترانزیستور MOS

فناوری ساخت MOS-IC جایگاه غالبی را در بین فرآیندهای تولید آی سی های نیمه هادی اشغال می کند. این با این واقعیت توضیح داده می شود که آی سی های مبتنی بر ترانزیستورهای MOS بخش قابل توجهی از محصولات اصلی میکروالکترونیک را برای اهداف عملکردی مختلف تشکیل می دهند. به دلیل قابلیت اطمینان بالا و پیچیدگی عملکردی بالا، MOS-IC ها ابعاد هندسی کوچک تری نسبت به آی سی های مبتنی بر ترانزیستورهای دوقطبی دارند. فناوری ساخت تراشه های MOS-IC از بسیاری جهات شبیه به فناوری آی سی های دوقطبی است. این تفاوت به دلیل تعدادی از ویژگی های طراحی و فناوری خود MOS-IC ها است.

ترانزیستورهای MOS با کانال داخلی و القایی وجود دارد:

· ماسفت های روی کانال دارای یک کانال ویژه روی تراشه هستند که رسانایی آن توسط بایاس گیت تعدیل می شود. در مورد کانال نوع p، کانال مثبت سوراخ‌های کانال را دفع می‌کند (حالت تخلیه)، و کانال منفی جذب می‌شود (حالت غنی‌سازی). بر این اساس، هدایت کانال نسبت به مقدار آن در بایاس صفر کاهش یا افزایش می یابد.

· در ماسفت های کانال القایی، یک کانال رسانا بین منبع به شدت دوپ شده و مناطق تخلیه رخ می دهد و بنابراین، جریان تخلیه قابل توجهی فقط در یک قطبیت خاص و در یک مقدار مشخص از ولتاژ دروازه نسبت به منبع (منفی برای کانال p) ظاهر می شود. و مثبت برای کانال n). به این ولتاژ آستانه می گویند.

اولین ها در تولید صنعتی p-MOS-IC ها بودند، زیرا تولید n-MOS-IC ها با ظاهر شدن روی سطح p-Si در طول اکسیداسیون حرارتی یک لایه n معکوس، که به صورت الکتریکی عناصر IC را به هم متصل می کند، پیچیده بود. اما در حال حاضر آی سی های کانال n بر تولید غالب هستند.

ترانزیستورهای دارای رسانایی الکترونیکی کانالی ویژگی های بهتری دارند، زیرا تحرک الکترون ها در سیلیکون به طور قابل توجهی از تحرک سوراخ ها بیشتر است.

MDP-IC ها با استفاده از فناوری مسطح ساخته می شوند. بحرانی ترین لحظات در فرآیند فناوری عبارتند از: ایجاد دی الکتریک گیت، تراز دقیق دروازه با کانال، و به دست آوردن ساختارهایی با طول کانال کوتاه.

برای ترانزیستور اثر میدانی با گیت عایق، می توان آن را با دیود شاتکی ترکیب کرد. یک دیود شاتکی یکپارچه یک تماس نیمه هادی فلزی است که به اصطلاح مانع شاتکی روی آن تشکیل می شود. انتقال از این نوع، که با در نظر گرفتن الزامات خاص انجام می شود، با اثراتی مانند عدم تقارن مشخصه جریان-ولتاژ و وجود یک خازن مانع مشخص می شود. برای به دست آوردن چنین انتقال‌هایی، فلزی که به‌عنوان یک الکترود روی سطح نیمه‌رسانای الکترونیکی قرار می‌گیرد باید عملکرد کاری کمتر از عملکرد نیمه‌رسانا داشته باشد. برای الکترودی که روی سطح یک نیمه هادی سوراخ قرار می گیرد، فلزی با عملکرد کار بالاتر مورد نیاز است (شکل 2).

شکل 2 - نمودار نواری تشکیل مبادله مبادله ای شاتکی در نقطه تماس بین فلز و نیمه هادی نوع p

در این حالت، یک لایه غنی شده با اکثر حامل ها در نیمه هادی در سطح مشترک با فلز تشکیل می شود که رسانایی بالایی از اتصال را بدون توجه به جهت جریان ارائه می دهد.

به طور کلی، ساخت ترانزیستور MOS با دیود شاتکی نیازی به معرفی عملیات تکنولوژیکی اضافی ندارد.

2. بخش فن آوری

1 شرح فرآیند فن آوری

شکل 3 - توالی عملیات تکنولوژیکی برای تولید ترانزیستور MOS با دیود شاتکی

بور با استفاده از کاشت یونی به ویفر اصلی وارد می شود تا بستری از نوع p بدست آید (شکل 3، a).

پس از این، با استفاده از فتولیتوگرافی و کاشت یون فسفر، مناطقی با محتوای افزایش یافته از اهداکنندگان تشکیل می شود (شکل 3، ج-ه).

پس از آن، یک لایه اضافی از دی اکسید سیلیکون رشد می کند. از آنجایی که درجه حرارت در این مرحله بالا است، ناخالصی های فسفر در طول این عملیات به طور یکنواخت در سراسر ضخامت لایه نزدیک به سطح زیرلایه توزیع می شود (شکل 3، g).

با استفاده از فتولیتوگرافی معمولی، اکسید سیلیکون را در ناحیه جداکننده تخلیه و منبع ترانزیستور آینده حذف می کنیم (شکل 3h).

اکنون مهمترین عملیات در کل چرخه تولید، رشد دی الکتریک گیت است (شکل 3، i).

اکنون تنها چیزی که باقی مانده است تشکیل الکترودهای تخلیه، منبع و دروازه و همچنین اتصال شاتکی است. اکنون این متالیزاسیون را به روشی ساده نشان خواهیم داد (شکل 3، j) و سپس اصول تشکیل آن را با جزئیات بیشتری در نظر خواهیم گرفت (بخش 2.4.5).

2 انتخاب یک کلاس از محل تولید

الزامات مدرن برای کلاس های تمیزی اتاق های تمیز و مناطق تمیز بر اساس استانداردهای تعریف شده در استاندارد فدرال ایالات متحده FS209E است. پیش نویس استاندارد روسیه آماده شده با این استاندارد ایالات متحده هماهنگ شده است.

معیار خلوص فقدان یا حداقل تعداد ذرات آلاینده است که با قرار گرفتن در سطح ویفر می تواند باعث ایجاد نقص در لایه های رشد شده یا ایجاد اتصال کوتاه در عناصر IC نزدیک همسایه شود.

جدول 1 - کلاس های تمیزی برای ذرات معلق در هوا برای اتاق های تمیز

کلاس تمیزی حداکثر غلظت مجاز تعداد ذرات N (pcs/m 3) اندازه برابر و بزرگتر از (μm) 0,10,20,30,51,0Class 1 ISO102---Class 2 ISO10024104-Class 3 ISO1000237102358Class 4 ISO100002370102035283Class 10 ISO20230 000000237000102000352008320Class 7 ISO---35200083200Class 8 ISO-- -3520000832000Class 9 ISO---352000008320000

معیار کمی - اندازه ذرات بحرانی - یک سوم حداقل اندازه هندسی افقی عنصر IC:

بنابراین، می‌توانید اتاق تمیزی را انتخاب کنید که مطابق با کلاس‌های پاکیزگی از ISO 1 تا ISO 6 باشد. همچنین با تمرکز بر هزینه، کلاس تمیزی ISO 2 را انتخاب می‌کنیم که برای آن حداکثر غلظت مجاز ذرات معلق در هوا برابر یا بیشتر از اندازه مورد نظر است. 0.2 میکرون (تعداد ذرات در 1 متر مکعب هوا) است:

که در آن N شماره کلاس پاکیزگی ISO است. D اندازه ذرات مورد نظر، میکرون است.

3 مواد اولیه و معرف

برای سال‌های متمادی، سیلیکون مونو کریستال به عنوان ماده نیمه‌رسانای اصلی مورد استفاده برای ساخت مدارهای مجتمع باقی مانده است. ویفرهای سیلیکونی پایه ای هستند که در لایه های سطحی آن مناطق نیمه هادی با ویژگی های الکتریکی مشخص ایجاد می شود. لایه های دی الکتریک بر روی سطح سیلیکون با اکسیداسیون خود مواد نیمه هادی یا استفاده از دی الکتریک از منابع خارجی تشکیل می شوند. ساختارهای متالیزاسیون چند لایه، لایه های محافظ، تثبیت کننده و غیره تشکیل می شود. الزامات ویفرهای سیلیکونی به طور دقیق مورد بررسی قرار گرفته است محصول نهایی - مدارهای مجتمع.

در زیر برخی از مشخصات هندسی ویفرهای سیلیکونی مطابق با مشخصات فنی ETO.035.124TU, ETO.035.206TU, ETO.035.217TU, ETO.035.240TU, ETO.035.578TU, PBCO.032.015TU آورده شده است.

قطر صفحه 100 میلی متر

جهت گیری (100) بستر سیلیکونی نسبت به جهت گیری (111) تحرک بالاتر الکترون به دلیل چگالی کم حالت های سطح در رابط سیلیکون-عایق مزیت دارد.

ضخامت صفحه 500 میکرون.

گسترش مقادیر ضخامت در یک دسته ± 10 میکرومتر است.

گسترش مقادیر ضخامت در سراسر صفحه ± 12 میکرومتر است.

انحراف 20 میکرون

انحراف صافی ± 5 میکرومتر.

الزامات بالایی برای ناخالصی ها و ذرات مکانیکی روی آب دیونیزه قرار می گیرد. جدول 2 عصاره هایی از مواد راهنمایی انجمن بین المللی SEMI را نشان می دهد که پارامترهای توصیه شده آب فوق خالص را برای تولید مدارهای مجتمع نیمه هادی با حداقل اندازه عنصر 0.8-1.2 میکرون نشان می دهد. نمایه سازی مربوط به معرف های مایع طبق استانداردهای SEMI به صورت SEMI C7 نوشته می شود.

مقدار پارامتر مقاومت الکتریکی آب باید نزدیک به مقدار نظری 18.2 MOhm cm باشد.

محتوای مواد آلی قابل اکسید شدن، ppb<10Содержание тяжелых металлов, ppb<3Частиц/литр 0,1-0,2U 0,2-0,3U 0,3-0,5U >0.5U<1500 <800 <50 <1Бактерии/100мл<5SiO2محتوای 3Ion، ppb Na +ک +Cl -برادر -نه 3-بنابراین 42-تعداد کل یون ها، ppb 0.025 0.05 0.025 0.05 0.05 0.2<0.2Сухой остаток, ppm<0,05

علاوه بر پارامترهای نشان داده شده در جدول، توصیه های SEMI داده هایی در مورد وجود آثار تعدادی از فلزات در آب ارائه می دهد. تجزیه و تحلیل برای محتوای فلزات زیر انجام می شود: Li، Na، K، Mg، Ca، Sr، Ba، B، Al، Cr، Mn، Fe، Ni، Cu، Zn، Pb.

برای آب گرید SEMI C7، برای همه این عناصر بدون استثنا، غلظت ردیابی مجاز از 0.001 تا 0.005 ppb است.

سطح خلوص مواد شیمیایی مایع مورد استفاده در تولید مدارهای مجتمع بر اساس یک سری استانداردهای بین المللی تعیین می شود و دارای درجه بندی های مختلفی مطابق با سطح پیچیدگی مدارهای مجتمع می باشد.

"Grade 2" دارای یک نام استاندارد است که با نمادهای SEMI C7 شروع می شود. معرف هایی با سطح خلوص "گرید 2" در ساخت مدارهای مجتمع با استانداردهای طراحی در محدوده 0.8-1.2 میکرون استفاده می شود که مطابق با نیاز کار است. در معرف های "گرید 2"، ذرات خارجی با ابعاد 0.5 میکرون و بزرگتر کنترل می شوند. تقریباً در کل طیف معرف ها، حداکثر هنجار 25 ذره در هر 1 میلی لیتر معرف است. مشخصات چنین معرف هایی نشان دهنده مقدار کمی فلز 5-10 ppb است.

علاوه بر استانداردهای مواد شیمیایی با خلوص بالا، مشخصاتی در قالب دستورالعمل‌هایی ایجاد شده است.

مطابق با آنها، سه سطح (سطح) الزامات نظافت تشکیل شده است: A، B، C (در املای انگلیسی - Tier A، Tier B، Tier C). سطح A مطابق با الزامات استاندارد SEMI C7 است. بر این اساس، معرف‌های این فرآیند فن‌آوری باید دارای سطح A باشند.

گازها نقش استثنایی در فناوری ساخت مدارهای مجتمع دارند. تقریباً تمام فرآیندهای تکنولوژیکی در یک محیط گازی اتفاق می‌افتند و مشکل ایجاد یک تولید «بدون آلودگی» دستگاه‌های نیمه‌رسانا تا حد زیادی مشکل خلوص گاز است. دو نوع محیط گازی وجود دارد: گازهای حامل و گازهای واکنش های شیمیایی در فرآیندهای فناوری. فشار جزئی گازهای حامل، به طور معمول، بالا است، و بنابراین خلوص آنها، با در نظر گرفتن غلظت بالا در محیط گازی کار، به ویژه در فناوری حیاتی است.

جدول 3 - گازها در فرآیندهای تولید آی سی

شماره نام فرمول شیمیایی محتوای ماده اصلی درصد کل ناخالصی ها (ppm قطعات مول/مول) 1 آمونیاک NH 399.998122ArgonAr99.999900.953ArsineAsH 399.94533 (که 500 ppm آن هیدروژن H است 2) 4 تری کلرید بور BCl 399.9995 (از نظر وزنی در فاز مایع) 5 (از نظر وزنی در فاز مایع) 5 تری فلوراید بور BF 399.00.94٪ - گازهای نامحلول در آب، 200 ppm - SiF 4. سایر ناخالصی ها - 28 ppm.6 کربن تترا فلورایدCF 499.99730، از جمله 20 - N 2، 5 - O 27DiboranB 2اچ 699.81012 که 500 مورد آن CO است 2 300 - ب 4اچ 10- تترابوران 50 - H 250 - ن 28 دی کلروسیلان H 2SiCl 299 ناخالصی های اصلی سایر کلروسیلان ها در فاز مایع هستند. 2اف 699.9963911 هیدروژن H 299.99972.812 هیدروژن کلریدHCl99.9972813 انیدرید فلوریک HF99.94525، شامل 200 - بخار آب بر حسب حجم 14 نیتروژن N 299.999990.115 نیتروژن تری فلوراید NF 399.81000، شامل CF 4- 500، CO - 130، N 2-100، O 2- 10016 اکسید نیتروژن 2O99.99726، از جمله 10 - N 217OxygenO 299.998218PhosphinePH 399.98181، از جمله 100 - H 2، 50 - ن 219MonosilaneSiH 499.9945920 سیلیکون تتراکلریدSiCl 499.6 ناخالصی های اصلی: SiH 2Cl 2- 0.2٪ در فاز مایع، SiHCl 3- 0.2% در فاز مایع 21 سولفور هگزا فلورایدSF 699.97209، از جمله 100 - CF 422 هگزا فلوراید تنگستن WF 699.99639، از جمله 20 - HF23 کلر تری فلورایدClF 3

4 عملیات تکنولوژیکی اساسی

2.4.1 تمیز کردن بستر

واضح است که هر بستر حاوی مقداری آلاینده است. اینها می توانند ذرات گرد و غبار، مولکول های مواد مختلف، غیر آلی و آلی باشند. ذرات گرد و غبار یا با برس مکانیکی یا تمیز کردن اولتراسونیک حذف می شوند. روش هایی با استفاده از جت های گریز از مرکز استفاده می شود. روش تمیز کردن شیمیایی معمولاً پس از حذف مولکول ها و اتم های معدنی انجام می شود و شامل حذف آلاینده های آلی است.

روش معمول تمیز کردن در مخلوط H انجام می شود 2O-H 2O 2-NH 4OH، که حذف ترکیبات آلی را به دلیل اثر حل شوندگی هیدروکسید آمونیوم و اثر اکسید کننده پراکسید هیدروژن تضمین می کند. برای حذف فلزات سنگین از محلول H استفاده کنید 2O-H 2O 2-HCl. چنین تمیز کردن بسترها در دمای 80 ~ انجام می شود º C به مدت 10-20 دقیقه، پس از آن شسته و خشک می شوند.

4.2 اکسیداسیون حرارتی

اکسیداسیون نیمه هادی ها به فرآیند برهمکنش آنها با عوامل اکسید کننده اطلاق می شود: اکسیژن، آب، ازن و غیره.

لایه ای از دی اکسید سیلیکون معمولا بر روی یک ویفر سیلیکونی به دلیل برهمکنش شیمیایی اتم های سیلیکون و اکسیژن در ناحیه نزدیک به سطح نیمه هادی تشکیل می شود. اکسیژن در محیط اکسید کننده موجود است که سطح بستر سیلیکونی که در یک کوره تا دمای 900 تا 1200 درجه سانتیگراد گرم شده است، با آن در تماس است. محیط اکسید کننده می تواند اکسیژن خشک یا مرطوب باشد. یک نمای شماتیک از نصب در شکل 4 نشان داده شده است (در تاسیسات مدرن، صفحات در نگهدارنده بستر به صورت عمودی قرار دارند).

شکل 4- نمودار نصب فرآیند اکسیداسیون حرارتی

تجهیزات مورد نیاز:

1)دمای نگهدارنده بستر با دقت 1 درجه کنترل می شود.

2)اطمینان از افزایش و کاهش صاف دما در راکتور (گرمایش دو مرحله ای).

)عدم وجود ذرات خارجی در راکتور (نگهدارنده بستر ابتدا در لوله راکتور وارد می شود و سپس به پایین پایین می آید).

)عدم وجود ناخالصی های خارجی، به ویژه یون های سدیم در سطح داخلی راکتور (برای حذف آنها، لوله راکتور از قبل با کلر پاک می شود).

)اطمینان از ورود ویفرهای سیلیکونی به راکتور بلافاصله پس از تمیز کردن شیمیایی آنها.

واکنش شیمیایی روی سطح ویفر سیلیکونی با یکی از معادلات زیر مطابقت دارد:

· اکسیداسیون در اتمسفر اکسیژن خشک (اکسیداسیون خشک): Si تلویزیون + O 2= SiO 2;

· اکسیداسیون در بخار آب (اکسیداسیون مرطوب): Si تلویزیون +2H 2O = SiO 2+ 2 ساعت 2;

· اکسیداسیون حرارتی در حضور کلر (اکسیداسیون کلر)؛

· اکسیداسیون در بخار آب در دما و فشار بالا (اکسیداسیون هیدروترمال).

در همان دما، ضریب انتشار آب در دی اکسید سیلیکون به طور قابل توجهی بالاتر از ضریب انتشار اکسیژن است. این امر نرخ رشد بالای اکسید در اکسیژن مرطوب را توضیح می دهد. فیلم های در حال رشد فقط در اکسیژن مرطوب به دلیل کیفیت پایین اکسید استفاده نمی شود. فیلم های بهتر در اکسیژن خشک به دست می آیند، اما سرعت رشد آنها بسیار کم است.

برای پوشش در طول درمان های موضعی، اکسیداسیون در حالت اکسیژن خشک - مرطوب - خشک انجام می شود. برای تشکیل دی الکتریک دروازه سازه های MOS، از اکسیژن خشک استفاده می شود، زیرا فیلم ها کیفیت بالاتری دارند.

4.3 فرآیندهای لیتوگرافی

هدف اصلی لیتوگرافی در ساخت سازه های ریز مدار، به دست آوردن ماسک های تماسی با پنجره های روی سطح صفحات است که مطابق با توپولوژی لایه های تکنولوژیکی در حال شکل گیری است و انتقال بیشتر توپولوژی (الگوی) از ماسک به ماسک. مواد این لایه لیتوگرافی یک فرآیند پیچیده تکنولوژیکی است که بر اساس استفاده از پدیده هایی است که در مقاومت ها در طول تابش اکتینیک رخ می دهد.

مقاومت هایی که حلالیت آنها در توسعه دهنده پس از تابش افزایش می یابد، مثبت نامیده می شوند. مقاومت منفی پس از تابش عملاً در سازنده نامحلول می شود.

روش استاندارد در صنعت الکترونیک لیتوگرافی نوری است - فوتولیتوگرافی (شکل 5) - که برای آن از فوتوریست هایی استفاده می شود که به تابش اکتینیک با طول موج 200 تا 450 نانومتر حساس هستند. فتورزیست ها ترکیبات پلیمری پیچیده ای هستند که حاوی اجزای حساس به نور و تشکیل فیلم، حلال ها و افزودنی های ویژه هستند.

این پروژه از یک مقاوم نوری مثبت، با کیفیت بالا و پایدار FP-20F استفاده می کند که برای اجرای فرآیندهای فوتولیتوگرافی تماسی و طرح ریزی در تولید دستگاه های نیمه هادی و مدارهای مجتمع در نظر گرفته شده است. بر این اساس، می توان از محلول آبی ضعیف KOH یا NaOH برای اچ استفاده کرد.

بهینه ترین راه برای اعمال نور مقاوم، سانتریفیوژ است. بستر روی یک سانتریفیوژ افقی ثابت می شود. 1-5 میلی لیتر فوتوریست روی بستر اعمال می شود (بسته به اندازه زیرلایه). سانتریفیوژ با سرعت 1000-3000 دور در دقیقه (بسته به مارک مقاوم نور) می چرخد. چرخش برای 1-2 دقیقه ادامه می یابد تا زمانی که یک لایه مقاوم به نور تشکیل شود، در حالی که حلال تبخیر می شود.

شکل 5 - طرح عملیات اصلی فرآیند فوتولیتوگرافی

چندین روش نوردهی در پروژه وجود دارد که ما بدون تماس استفاده خواهیم کرد (شکل 6). چاپ پروجکشن آسیب به سطح قالب را به طور کامل از بین می برد. تصویری از الگوی توپولوژیکی الگو بر روی صفحه ای با پوشش مقاوم نمایش داده می شود که در فاصله چند سانتی متری از الگو قرار دارد.

منبع نور؛ 2- سیستم نوری; 3- الگو;

فوتوریست؛ 5- ویفر سیلیکونی.

شکل 6- طرح چاپ پروجکشن

برای دستیابی به وضوح بالا، تنها بخش کوچکی از طراحی قالب نمایش داده می شود. این ناحیه کوچک منعکس شده اسکن می شود یا در سراسر سطح ویفر حرکت می کند. در دستگاه های چاپ پروجکشن اسکن، الگو و صفحه به طور همزمان حرکت می کنند.

هنگام خشک کردن فوتوریست، انتخاب دما و زمان مناسب بسیار مهم است. خشک کردن نور مقاوم با رایج ترین روش - تابش IR انجام می شود. در این حالت حلال در تمام ضخامت لایه مقاوم به طور یکنواخت حذف می شود و فشردگی آن اتفاق نمی افتد و زمان خشک شدن به چند دقیقه کاهش می یابد.

4.4 کاشت یون

دوپینگ مواد نیمه هادی به منظور به دست آوردن پارامترهای الکتریکی مشخص لایه ها هنگام تشکیل یک ساختار هندسی خاص از IC مهمترین وظیفه فن آوری باقی مانده است. دو نوع دوپینگ وجود دارد: انتشار (شامل مراحل هدایت ناخالصی و شتاب بعدی) و یونی.

رایج ترین آنها کاشت یون (یون دوپینگ) به عنوان فرآیند وارد کردن اتم های یونیزه شده به یک هدف با انرژی کافی برای نفوذ به مناطق نزدیک به سطح آن است (شکل 7). این روش با تطبیق پذیری (هر گونه ناخالصی می تواند به هر جامد وارد شود)، خلوص و دقت فرآیند آلیاژسازی (ورود ناخالصی های کنترل نشده عملاً حذف می شود) و دمای پایین فرآیند متمایز می شود.

منبع یون؛ 2 - طیف سنج جرمی; 3 - دیافراگم; 4 - منبع ولتاژ بالا; 5 - لوله شتاب دهنده; 6 - لنز; 7 - منبع تغذیه لنز; 8 - سیستم انحراف پرتو عمودی و سیستم خاموش کردن پرتو; 9 - سیستم انحراف تیر افقی; 10 - هدف برای جذب ذرات خنثی. 11 - بستر.

شکل 7 - طرح نصب دوپینگ یونی

در طول کاشت یون، تعدادی از اثرات نامطلوب ظاهر می شود، مانند اثر کانال، آمورفیزاسیون لایه نزدیک به سطح بستر و ایجاد نقص تشعشع.

اثر کانال زمانی مشاهده می شود که یک یون وارد فضای آزاد بین ردیف های اتم شود. چنین یونی در اثر برخوردهای لغزشی ضعیف با دیواره های کانال به تدریج انرژی خود را از دست می دهد و در نهایت این منطقه را ترک می کند. مسافت طی شده توسط یک یون در یک کانال می تواند چندین برابر بیشتر از طول مسیر یک یون در یک هدف آمورف باشد، به این معنی که مشخصات توزیع ناخالصی ناهموار است.

هنگامی که یون ها به یک بستر کریستالی سیلیکون وارد می شوند، در معرض برخوردهای الکترونیکی و هسته ای قرار می گیرند، با این حال، تنها فعل و انفعالات هسته ای منجر به جابجایی اتم های سیلیکون می شود. یون های سبک و سنگین به طور متفاوتی با بستر تعامل دارند.

یون های سبک، هنگامی که به یک هدف وارد می شوند، در ابتدا عمدتاً ترمز الکترونیکی را تجربه می کنند. حداکثر غلظت پنهان در مشخصات توزیع اتم های جابجا شده در عمق بستر وجود دارد. هنگامی که یون های سنگین نفوذ می کنند، بلافاصله شروع به مهار شدید اتم های سیلیکون می کنند.

یون های سنگین تعداد زیادی از اتم های هدف را از محل های شبکه کریستالی نزدیک سطح بستر جابجا می کنند. در نمایه نهایی توزیع چگالی نقص تشعشع، که توزیع مسیرهای آزاد اتم های سیلیکون شکسته شده را تکرار می کند، یک قله پنهان گسترده وجود دارد. به عنوان مثال، یون های نور 11B تجربه ترمز الکترونیکی، یون های سنگین 31P یا 75به عنوان - توسط اتم های سیلیکون مهار می شود.

در این راستا، پس از دوپینگ یونی، انجام آنیلینگ پس از کاشت به منظور بازگرداندن ناحیه نزدیک به سطح هدف ضروری است.

نواحی زهکش و منبع را با وارد کردن فسفر تشکیل می دهیم و برای به دست آوردن بستر نوع p، بستر اولیه را با بور آغشته می کنیم.

4.5 متالیزاسیون

متالیزاسیون فرآیند تشکیل ساختارهای نیمه هادی را تکمیل می کند. برای هر آی سی، انجام متالیزاسیون از یک ماده توصیه می شود. فرآیند متالیزاسیون شامل اجرای اتصالات کم مقاومت و ایجاد کنتاکت های کم مقاومت به نواحی بسیار دوپ شده از نوع p و n و لایه های سیلیکونی پلی کریستالی است.

با توجه به تکلیف پروژه دوره، تشکیل 3 لایه متالیزاسیون ضروری است. این متالیزاسیون به طور کامل الزامات را برآورده می کند، اما از نظر تکنولوژیکی کمتر پیشرفته است، زیرا حاوی بیش از یک لایه فلز است.

فلزات نسوز، به ویژه مولیبدن و وانادیم، اغلب به عنوان اولین لایه متالیزاسیون روی اکسید استفاده می شوند. آنها رسانایی بیشتری نسبت به سایر فلزات نسوز دارند، با پایداری بالا، چسبندگی خوب مشخص می شوند و به راحتی توسط فتولیتوگرافی حک می شوند. آنها باید حلالیت کمی در مواد بستر داشته باشند و تماس اهمی خوبی با نیمه هادی و ولتاژ آستانه پایین ایجاد کنند. لایه دوم معمولاً آلومینیوم است و در دستگاه های حساس به ویژه - طلا. باید رسانایی بالایی داشته باشد.

آخرین لایه متالیزاسیون به ترتیب کاربرد، به نام لایه رسانا، باید رسانایی الکتریکی خوبی داشته باشد و از اتصال باکیفیت لنت های تماسی به پایانه های محفظه اطمینان حاصل کند. از مس، آلومینیوم و طلا برای لایه های رسانا استفاده می شود.

روش های زیادی برای تولید فیلم های فلزی وجود دارد. به دست آوردن فیلم های با کیفیت بالا و بدون آلودگی با استفاده از رسوب گذاری خلاء حرارتی دشوار است. فیلم های آلومینیومی به دست آمده از تبخیر خلاء حرارتی دارای ناهمواری زیادی در اندازه دانه ها و غلظت بالایی در داخل دانه ها هستند. عملیات حرارتی بعدی آنها منجر به مهاجرت اتم های فلز و تجمع آنها در اطراف ذرات بزرگ با تشکیل توبرکل های بالا می شود. به دست آوردن الگوهای روی چنین فیلم هایی با فوتولیتوگرافی منجر به بی نظمی لبه های بزرگ به دلیل ناهمسانگردی اچ در امتداد مرزهای دانه می شود. بنابراین، برای به دست آوردن خطوط متالیزاسیون با عرض بسیار کم، فرآیندهای خلاء حرارتی رها می شوند. روش رسوب شیمیایی فیلم ها از مخلوط بخار و گاز بیشتر در شرایط آزمایشگاهی استفاده می شود. پرتو الکترونی علیرغم اینکه طراحی نصب را پیچیده می کند، می تواند آلودگی فیلم را کاهش داده و بهره وری فرآیند را افزایش دهد (شکل 8). نرخ رشد بهینه فیلم 0.5 میکرومتر در دقیقه است. با استفاده از این روش، فیلم های آلومینیوم و آلیاژهای آن و همچنین Si, Pd, Au, Ti, Mo, Pt, W اعمال می شود.


مزایای تبخیر پرتو الکترونی عبارتند از:

· توانایی استفاده از منابع با جرم زیاد (بدون نیاز به راه اندازی مجدد هنگام استفاده از فیلم های ضخیم).

· امکان استفاده متوالی از فیلم های مختلف از منابع مجاور واقع در همان اتاق.

· نرخ رشد بالای فیلم؛

· امکان پاشش مواد نسوز

سد شاتکی از نظر عملکرد متعلق به متالیزاسیون نیست، اما با توجه به تکنولوژی تشکیل آن می توان آن را به عنوان متالیزاسیون طبقه بندی کرد، زیرا شبیه به دست آوردن تماس های اهمی به مناطق فعال است. مهمترین مرحله در شکل گیری موانع شاتکی، انتخاب یک جفت فلز-نیمه هادی و حالت های بهینه است.

بنابراین، برای لایه تماس از سیلیسید پلاتین استفاده خواهیم کرد که توسط تبخیر پرتو الکترونی توسط تبخیر مشترک از دو منبع اعمال می شود. سد شاتکی توسط آلیاژی از تیتانیوم و تنگستن که با استفاده از همین روش بر روی سیلیکون رسوب می‌کند، تامین می‌شود. اساساً این آلیاژ شبیه به منطقه با آلیاژ شدید خواهد بود. برای لایه رسانا، از آلومینیوم استفاده می‌کنیم که با تبخیر پرتو الکترونی نیز رسوب می‌کند.

4.6 عایق بین لایه ای

متالیزاسیون چند سطحی برای LSI و VLSI استفاده می شود. افزایش تعداد عناصر همچنین باعث افزایش سطح اتصالات بین المان ها می شود، بنابراین آنها در چندین سطح قرار می گیرند که توسط لایه های عایق از هم جدا شده و در مکان های مناسب به هم متصل می شوند.

فیلم های دی الکتریک عایق باید دارای ولتاژ شکست بالا، ثابت دی الکتریک کم و تلفات، حداقل اندرکنش شیمیایی با فیلم های مجاور، سطوح پایین تنش مکانیکی، چگالی کم بار الکتریکی مرتبط، پایداری شیمیایی بالا و قابلیت ساخت در هنگام تولید فیلم و ایجاد الگو باشند. وجود ریزچاله های عبوری که می تواند منجر به اتصال کوتاه بین لایه های متالیزاسیون شود، غیرقابل قبول است.

فن آوری متالیزاسیون چند سطحی شامل تشکیل اولین سطح متالیزاسیون، به دست آوردن یک لایه عایق با باز شدن بعدی پنجره های تماس بین سطحی، تشکیل لایه دوم متالیزاسیون و غیره است.

بسیاری از آی سی های تولید شده تجاری بر اساس متالیزاسیون آلومینیوم با لایه های عایق SiO ساخته می شوند. 2. فیلم های دی اکسید سیلیکون را می توان با یا بدون افزودنی های آلیاژی رسوب داد. مهمترین پارامتر در طول رسوب SiO 2- تکرارپذیری نقش برجسته (شکل 9).

شکل 9-تکثیر منسجم. ضخامت فیلم روی دیواره های پله با ضخامت پایین و سطح تفاوتی ندارد. به دلیل مهاجرت سریع سطحی

در این پروژه، دی اکسید سیلیکون دوپ نشده اعمال شده توسط رسوب شیمیایی بخار به عنوان یک فیلم عایق بین متالیزاسیون چند سطحی استفاده می شود (شکل 10). مورد دوم مبتنی بر استفاده از پدیده پیرولیز یا واکنش های شیمیایی در تشکیل فیلم های مواد عایق است.

شکل 10 - نصب برای تشکیل فیلم با رسوب بخار شیمیایی در فشار معمولی

Monosilane SiH به عنوان یک گاز واکنش پذیر استفاده می شود. 4و اکسیژن و نیتروژن به عنوان گاز بافر.

SiH 4+ O 2→ SiO 2+ 2 ساعت 2

این فرآیند پایین ترین دما برای به دست آوردن لایه های دی الکتریک با کیفیت بالا از SiO است 2(واکنش در محدوده دمایی 200-400 انجام می شود º با). نقطه ضعف آن این است که سیلان قابل اشتعال و انفجار است. فیلم ها بسیار تمیز شکل می گیرند، اما به دلیل دمای پایین شل هستند. برای جلوگیری از این امر، باید غلظت سیلان در فاز گاز را به شدت تنظیم کرد و مستقیماً به سطح صفحات عرضه کرد و از رشد SiO2 جلوگیری کرد. 2در فاز گاز

3. محاسبات مهندسی و اقتصادی

موضوع پروژه: توسعه یک فرآیند تکنولوژیکی برای ساخت مدارهای مجتمع نیمه هادی

نوع فناوری: ماسفت با دیود شاتکی

مواد بستر: Si

داده های اولیه برای پروژه:

اندازه کریستال (تراشه). 10x10 میلی متر2

حداقل استاندارد طراحی برای یک عنصر IP 0.3 میکرومتر

تراکم عیوب در هر لایه 0.1def/cm2

تعداد لایه های متالیزاسیون 1

درصد بازده سازه های مناسب روی صفحه (Y) با استفاده از فرمول زیر محاسبه می شود:

که در آن D0 چگالی ویژه عیوب در فتولیتوگرافی، def/cm2 است. A منطقه فعال کریستال، cm2 است. F تعداد فرآیندهای فوتولیتوگرافی در چرخه کامل فناوری ساخت IC است.

محاسبه حجم کل تولید محصولات مناسب بر اساس داده های اولیه انجام می شود. عملکرد سازه های مناسب روی صفحه:

که در آن Apl ناحیه فعال صفحه ای با قطر 100 میلی متر است، A مساحت عنصر cm2 است.

حجم تولید سالیانه هنگام راه اندازی ویفر Z=300 در روز مشروط بر اینکه درصد بازدهی محصولات مناسب در عملیات مونتاژ W=95% باشد:

جدول. محاسبه ولتاژ آستانه ترانزیستور MOS.

ن آ ، سانتی متر -31∙1016 => 1∙1022متر -3دبلیو اچ ، میکرومتر 1.5 = 1.5∙10 -6mt گاو نر ، nm40 => 4∙10 -8میلی لیتر اچ ، میکرومتر 1.5 = 1.5∙10 -6میلی لیتر، میکرومتر 1.5 => 1.5∙10 -6mU DD ، B3W، µm16 => 1.6∙10 -5متر ε سی ,11,9μ n 0.15ε Si02 3.9ε 08.85∙10-12F/M 2

8.6∙10-4 F/M

پتانسیل سطح کجاست

که در آن، افت ولتاژ در سراسر لایه اکسید است.

نتیجه

این کار درسی به بررسی فناوری ساخت بردهای مدار مجتمع نیمه هادی می پردازد. مدار یکپارچه نیمه هادی یک ریزمدار است که عناصر آن در لایه نزدیک به سطح یک بستر نیمه هادی ساخته می شوند. این آی سی ها اساس میکروالکترونیک مدرن را تشکیل می دهند. ابعاد کریستالی مدارهای مجتمع نیمه هادی مدرن به میلی متر مربع می رسد. با همان مساحت کریستالی، می توانید تعداد عناصر را با کاهش اندازه آنها و فاصله بین آنها افزایش دهید.

با استفاده از انواع مختلف دی الکتریک دروازه، فلزات دیگر هنگام ایجاد تماس با سیلیکون، و سایر لایه های عایق، می توان مدارهای پیچیده تری با اندازه عناصر حتی کوچکتر به دست آورد.

فهرست منابع استفاده شده

1.یژوفسکی یو.ک. مبانی علم و فناوری مواد لایه نازک دستگاه های یکپارچه: کتاب درسی/ SPbGTI.- SPb., 2005.-127p.

2.دستگاه های یکپارچه الکترونیک رادیویی UMK، SZTU، سن پترزبورگ 2009

.مالیشوا I.A. فن آوری تولید مدارهای مجتمع: کتاب درسی برای دانشکده های فنی - M.: رادیو و ارتباطات.، 1991. - 344 ص.

4. ، گورتوف V.A. الکترونیک حالت جامد: کتاب درسی. -Petrozavodsk, 2005.-405 p.

Tsvetov V.P. فناوری مواد و محصولات الکترونیک حالت جامد: دستورالعمل/ SPbGTI.- SPb., 1998.-67p.

Http://www.analog.energomera.ru، ویفرهای سیلیکونی مونوکریستالی.

. ، دوره سخنرانی در مورد رشته “فناوری SBIS”.

3 مبانی تکنولوژیکی تولید

مدارهای انتگرال نیمه هادی

فناوری ساخت مدار مجتمع نیمه هادی (SIC) از فناوری ترانزیستور مسطح تکامل یافته است. بنابراین، برای درک چرخه های تکنولوژیکی ساخت IC، لازم است با فرآیندهای تکنولوژیکی معمولی که این چرخه ها از آنها تشکیل شده اند، آشنا شویم.

3.1 عملیات آماده سازی

شمش های سیلیکونی تک کریستالی، مانند سایر نیمه هادی ها، معمولاً از طریق تبلور از مذاب به دست می آیند. روش چوکرالسکی. با این روش میله ای با دانه (به شکل تک کریستال سیلیکونی) پس از تماس با مذاب به آرامی با چرخش همزمان بالا می رود. در این صورت به دنبال بذر، شمش در حال رشد و جامد بیرون کشیده می شود.

جهت کریستالوگرافی شمش (مقطع آن) با جهت کریستالوگرافی دانه تعیین می شود. اغلب از شمش هایی با سطح مقطع در صفحه (111) یا (100) استفاده می شود.

قطر معمولی شمش ها در حال حاضر 80 میلی متر است و حداکثر می تواند به 300 میلی متر یا بیشتر برسد. طول شمش ها می تواند به 1-1.5 متر برسد، اما معمولاً چندین برابر کمتر است.

شمش های سیلیکون به تعداد زیادی ویفر نازک (0.4-1.0 میلی متر ضخامت) بریده می شوند که سپس مدارهای مجتمع بر روی آنها ساخته می شوند. سطح ویفرها پس از برش بسیار ناهموار است: اندازه خراش ها، برآمدگی ها و گودال ها بسیار بزرگتر از اندازه عناصر آی سی آینده است. بنابراین، قبل از شروع عملیات فنی اصلی، صفحات به طور مکرر آسیاب و سپس صیقل داده می شوند. هدف از سنگ زنی، علاوه بر رفع عیوب مکانیکی، اطمینان از ضخامت مورد نیاز صفحه (200-500 میکرون)، غیرقابل دسترسی با برش و موازی بودن صفحات است. در پایان آسیاب، یک لایه آسیب‌دیده مکانیکی به ضخامت چندین میکرون هنوز روی سطح باقی می‌ماند که در زیر آن یک لایه حتی نازک‌تر و به اصطلاح آسیب‌دیده فیزیکی وجود دارد. مشخصه دومی وجود اعوجاج های "نامرئی" شبکه کریستالی و تنش های مکانیکی است که در طول فرآیند سنگ زنی ایجاد می شود.


پولیش شامل حذف لایه های آسیب دیده و کاهش بی نظمی های سطح به سطح مشخصه سیستم های نوری - صدم میکرومتر است. علاوه بر پرداخت مکانیکی، از پرداخت شیمیایی (اچینگ) استفاده می شود، یعنی اساساً لایه سطحی نیمه هادی را در معرف های خاصی حل می کند. برجستگی ها و ترک های روی سطح سریعتر از مواد پایه حک می شوند و سطح به طور کلی تراز می شود.

یک فرآیند مهم در فناوری نیمه هادی ها نیز تمیز کردن سطح از آلودگی توسط مواد آلی به ویژه چربی ها است. تمیز کردن و چربی زدایی در حلال های آلی (تولوئن، استون، اتیل الکل و غیره) در دماهای بالا انجام می شود.

اچ کردن، تمیز کردن و بسیاری از فرآیندهای دیگر با شستن صفحات همراه است دیونیزه شدهاب.

3.2 اپیتاکسی

اپیتاکسیفرآیند رشد لایه های تک کریستالی بر روی یک بستر است که در آن جهت کریستالوگرافی لایه رشد یافته جهت کریستالوگرافی زیرلایه را تکرار می کند.

در حال حاضر، اپیتاکسی معمولاً برای به دست آوردن لایه های کاری نازک تا 15 میکرومتر از یک نیمه هادی همگن بر روی یک بستر نسبتاً ضخیم استفاده می شود که نقش یک ساختار پشتیبان را ایفا می کند.

معمول - کلریدفرآیند اپیتاکسی که برای سیلیکون اعمال می شود به شرح زیر است (شکل 3.1). ویفرهای سیلیکونی تک کریستالی در یک بوته قایق بارگذاری می شوند و در یک لوله کوارتز قرار می گیرند. جریانی از هیدروژن حاوی مخلوط کوچکی از تتراکلرید سیلیکون SiCl4 از لوله عبور می کند. در دماهای بالا (حدود 1200 درجه سانتیگراد)، واکنش SiCl4 + 2H2 = Si + 4HC1 در سطح صفحات رخ می دهد.

در نتیجه واکنش، لایه ای از مواد خالص به تدریج بر روی بستر رسوب می کند.

بخارات سیلیکون و HCl توسط جریان هیدروژن منتقل می شوند. لایه اپیتاکسیال سیلیکون ته نشین شده تک کریستالی است و جهت کریستالوگرافی یکسانی با بستر دارد. واکنش شیمیایی، به دلیل انتخاب دما، فقط در سطح صفحه رخ می دهد و نه در فضای اطراف.

شکل 3.1 - فرآیند اپیتاکسی

فرآیندی که در جریان گاز اتفاق می افتد نامیده می شود حمل و نقل گاز واکنش،و گاز اصلی (در این مورد هیدروژن) که ناخالصی را وارد منطقه واکنش می کند، می باشد گاز حامل.

اگر جفت ترکیبات فسفر (PH3) یا بور (B2H6) به بخارات تتراکلرید سیلیکون اضافه شود، لایه همپایی دیگر رسانایی الکترونیکی یا سوراخی خود را نخواهد داشت (شکل 3.2a)، زیرا در طول واکنش دهنده اتم‌ها به اتم‌های پذیرنده سیلیکون فسفر یا بور رسوب‌شده وارد می‌شوند.

بنابراین، اپیتاکسی این امکان را فراهم می کند که روی یک بستر، لایه های تک بلوری با هر نوع رسانایی و هر مقاومتی که دارای هر نوع و مقدار رسانایی هستند رشد کنند، به عنوان مثال، در شکل 3.2a n لایه نشان داده شده است و یک n+ یا p+ لایه می تواند تشکیل شود.

شکل 3.2 - زیرلایه هایی با لایه های اپیتاکسیال و اکسیدی

مرز بین لایه اپیتاکسیال و زیرلایه کاملاً تیز نیست، زیرا ناخالصی ها تا حدی از یک لایه به لایه دیگر در طول فرآیند اپیتاکسی پخش می شوند. این شرایط ایجاد ساختارهای فوق نازک (کمتر از 1 میکرومتر) و چند لایه همپایی را دشوار می کند. در حال حاضر نقش اصلی را اپیتاکسی تک لایه ایفا می کند. این به طور قابل توجهی زرادخانه فن آوری نیمه هادی ها را گسترش داده است. به دست آوردن چنین لایه های نازک همگن (میلی متر) که توسط اپیتاکسی ارائه می شود با روش های دیگر غیر ممکن است.


در شکل 3.2 الف و موارد بعدی، مقیاس عمودی رعایت نشده است.

نصب نشان داده شده در شکل 3.1 شامل برخی عملیات اضافی است: پاکسازی لوله با نیتروژن و حکاکی کم عمق سطح سیلیکون در بخار HCl (برای اهداف تمیز کردن). این عملیات قبل از شروع عملیات اصلی انجام می شود.

فیلم اپیتاکسیال ممکن است از نظر ترکیب شیمیایی با بستر متفاوت باشد. روش تولید چنین فیلم هایی نامیده می شود هترواپیتاکسی،بر خلاف هومواپیتاکسی،در بالا توضیح داده شد. البته، با هترواپیتاکسی، هم فیلم و هم مواد زیرلایه باید شبکه کریستالی یکسانی داشته باشند. به عنوان مثال، می توانید یک لایه سیلیکونی را روی یک بستر یاقوت کبود پرورش دهید.

در نتیجه، ما توجه می کنیم که علاوه بر اپیتاکسی گاز توصیف شده، اپیتاکسی مایع وجود دارد که در آن رشد یک لایه تک بلوری از فاز مایع، یعنی از محلولی که حاوی اجزای لازم است، انجام می شود.

3.3 اکسیداسیون حرارتی

اکسیداسیون سیلیکون یکی از بارزترین فرآیندها در فناوری PPIMS مدرن است. فیلم حاصل از دی اکسید سیلیکون SiO2 (شکل 3.2b) چندین عملکرد مهم را انجام می دهد، از جمله:

عملکرد حفاظتی - منفعل شدنسطح و به ویژه حفاظت از مقاطع عمودی ص - n انتقال به سطح.

عملکرد یک ماسک، از طریق پنجره هایی که در آن ناخالصی های لازم با روش انتشار وارد می شود (شکل 3.4b).

عملکرد یک دی الکتریک نازک در زیر دروازه ترانزیستور یا خازن MOS (شکل های 4.15 و 4.18c).

پایه دی الکتریک برای اتصال عناصر PP IC با یک فیلم فلزی (شکل 4.1).

سطح سیلیکون همیشه با لایه اکسیدی "خود" پوشیده شده است که در نتیجه اکسیداسیون "طبیعی" در کمترین دما ایجاد می شود. با این حال، این فیلم بسیار نازک است (حدود 5 نانومتر) برای انجام هر یک از این عملکردها. بنابراین در تولید آی سی های نیمه هادی، فیلم های ضخیم تری SiO2 به صورت مصنوعی به دست می آید.

اکسیداسیون مصنوعی سیلیکون معمولاً در دمای بالا (درجه سانتیگراد) انجام می شود. چنین اکسیداسیون حرارتی را می توان در اتمسفر اکسیژن انجام داد (اکسیداسیون خشک)در مخلوطی از اکسیژن و بخار آب ( اکسیداسیون مرطوب) یا به سادگی در بخار آب.

در تمام موارد، این فرآیند در کوره های اکسید کننده انجام می شود. اساس چنین کوره هایی، مانند اپیتاکسی، یک لوله کوارتز است که در آن یک "قایق" با ویفرهای سیلیکونی قرار می گیرد که یا توسط جریان های فرکانس بالا یا به روشی دیگر گرم می شود. جریانی از اکسیژن (خشک یا مرطوب) یا بخار آب از لوله عبور می کند که در یک منطقه با دمای بالا با سیلیکون واکنش می دهد. فیلم SiO2 به دست آمده از این طریق دارای ساختاری آمورف است (شکل 3.2b).

بدیهی است که سرعت رشد اکسید باید با گذشت زمان کاهش یابد، زیرا اتم های جدید اکسیژن باید از طریق یک لایه اکسید ضخیم به طور فزاینده پخش شوند. فرمول نیمه تجربی مربوط به ضخامت لایه اکسید با زمان اکسیداسیون حرارتی به شکل زیر است:

جایی که k - پارامتر بسته به دما و رطوبت اکسیژن.

اکسیداسیون خشک ده ها برابر کندتر از اکسیداسیون مرطوب است. به عنوان مثال، حدود 5 ساعت طول می کشد تا یک فیلم SiO2 به ضخامت 0.5 میکرون در اکسیژن خشک در دمای 1000 درجه سانتیگراد و تنها 20 دقیقه در اکسیژن مرطوب رشد کند. با این حال، کیفیت فیلم های به دست آمده در اکسیژن مرطوب کمتر است. با کاهش دما برای هر 100 درجه سانتیگراد، زمان اکسیداسیون 2-3 برابر افزایش می یابد.


در فناوری IC، بین اکسیدهای SiO2 "ضخیم" و "نازک" تمایز قائل می شود. اکسیدهای ضخیم ( d = 0.7-1.0 میکرومتر) عملکردهای محافظت و استتار را انجام می دهد و نازک (d = 0.1-0.2 میکرومتر) - عملکرد دی الکتریک گیت در ترانزیستورها و خازن های MOS.

یکی از مشکلات مهم در هنگام رشد یک فیلم SiO2، اطمینان از همگن بودن آن است. بسته به کیفیت سطح ویفر، خلوص معرف ها و رژیم رشد، مشکلات خاصی در فیلم ایجاد می شود. عیوب.یک نوع معمول از عیوب، میکرو و ماکرو منافذ هستند، حتی از سوراخ ها (به ویژه در اکسید نازک).

کیفیت فیلم اکسید با کاهش دمای رشد آن و همچنین هنگام استفاده از اکسیژن خشک افزایش می یابد. بنابراین، یک اکسید دروازه نازک، که کیفیت آن پایداری پارامترهای ترانزیستور MOS را تعیین می کند، با اکسیداسیون خشک به دست می آید. هنگام رشد اکسید غلیظ، اکسیداسیون خشک و مرطوب را به طور متناوب انجام دهید: اولی عدم وجود نقص را تضمین می کند و دومی به شما امکان می دهد زمان فرآیند را کاهش دهید.

روش های دیگر برای تولید فیلم های SiO2 در اینجا مورد بحث قرار گرفته است.

3.4 لیتوگرافی

در فناوری دستگاه های نیمه هادی، ماسک ها جایگاه مهمی را اشغال می کنند: آنها رسوب محلی، دوپینگ، اچینگ و در برخی موارد اپیتاکسی را فراهم می کنند. هر ماسک حاوی مجموعه ای از سوراخ های از پیش طراحی شده - پنجره ها است. ساخت چنین پنجره هایی می باشد کار لیتوگرافی(حکاکی). جایگاه پیشرو در فناوری تولید ماسک همچنان باقی مانده است فتولیتوگرافی و الکترونولیتوگرافی.

3.4.1. فتولیتوگرافی.فتولیتوگرافی بر اساس استفاده از موادی بنام مقاوم در برابر نور. این یک نوع امولسیون عکاسی است که در عکاسی معمولی شناخته شده است. فتورزیست ها به نور فرابنفش حساس هستند، بنابراین می توان آنها را در یک اتاق نه چندان تاریک پردازش کرد.

مقاوم به نور وجود دارد منفی و مثبتنور مقاوم‌های منفی وقتی در معرض نور قرار می‌گیرند پلیمریزه می‌شوند و در برابر اچ‌کننده‌ها (اسیدی یا قلیایی) مقاوم می‌شوند. این بدان معناست که پس از نوردهی موضعی، نواحی بدون نوردهی حک می‌شوند (مانند یک نگاتیو معمولی عکس). برعکس، در مقاوم‌کننده‌های نوری مثبت، نور زنجیره‌های پلیمری را از بین می‌برد و در نتیجه، نواحی در معرض آن حک می‌شوند.

نقاشی ماسک آینده به شکل یک به اصطلاح ساخته شده است برای­ به الگو. ماسک نوری یک صفحه شیشه ای ضخیم است که در یک طرف آن یک لایه نازک مات با مواد لازم اعمال می شود. الگوبه شکل سوراخ های شفاف. ابعاد این سوراخ ها (عناصر الگو) در مقیاس 1: 1 با ابعاد عناصر IC آینده مطابقت دارد، به عنوان مثال، آنها می توانند 20-50 میکرون یا کمتر (تا 2-3 میکرون) باشند. از آنجایی که آی سی ها با استفاده از روش گروهی تولید می شوند، بسیاری از طرح های مشابه در "ردیف" و "ستون" روی ماسک عکس قرار می گیرند. اندازه هر طرح مربوط به اندازه قالب آی سی آینده است.

فرآیند فوتولیتوگرافی برای تولید پنجره ها در ماسک اکسید SiO2 که سطح ویفر سیلیکونی را می پوشاند به شرح زیر است (شکل 3.3). به عنوان مثال، یک نور مقاوم (NP) منفی روی سطح اکسید شده ویفر اعمال می شود. یک ماسک نوری مقاوم به نور روی صفحه‌ای که با فتورزیست پوشیده شده است (با الگوی رو به مقاوم نور) و در معرض اشعه ماوراء بنفش (UV) یک لامپ کوارتز اعمال می‌شود (شکل 3.3a). پس از این، ماسک نوری برداشته می‌شود و مقاومت نوری توسعه یافته و ثابت می‌شود.

اگر از یک فوتوریست مثبت استفاده شود، پس از توسعه و تثبیت (که شامل سخت شدن و عملیات حرارتی فترزیست است)، پنجره‌هایی در مکان‌هایی که مربوط به نواحی شفاف روی ماسک نوری است به دست می‌آیند.

همانطور که می گویند، طراحی نقل مکان کرداز ماسک عکس گرفته تا مقاوم به نور. اکنون لایه مقاوم به نور یک ماسک است که کاملاً در مجاورت لایه اکسید قرار دارد (شکل 3.3b).

از طریق یک ماسک مقاوم به نور، لایه اکسید به سمت سیلیکون حک می شود (این اچانت بر سیلیکون تأثیر نمی گذارد). هیدروفلوریک اسید و نمک های آن به عنوان اچانت استفاده می شود. در نتیجه، الگوی مقاومت نوری به اکسید منتقل می شود. پس از برداشتن (اچ کردن) ماسک مقاوم به نور، نتیجه نهایی فوتولیتوگرافی یک ویفر سیلیکونی پوشیده شده با ماسک اکسید با پنجره است (شکل 3.3c). از طریق پنجره ها می توان انتشار، کاشت یون، اچینگ و غیره را انجام داد.

شکل 3.3 - فرآیند فوتولیتوگرافی

در چرخه های تکنولوژیکی ساخت المان های آی سی، فرآیند فوتولیتوگرافی به طور مکرر (به طور جداگانه برای به دست آوردن لایه های پایه، امیترها، کنتاکت های اهمی و غیره) استفاده می شود. در این حالت، به اصطلاح مشکل ترکیب ماسک های نوری به وجود می آید. با استفاده مکرر از فتولیتوگرافی (در فناوری PPIMS تا 5-7 بار)، تحمل تراز به کسری از میکرون می رسد. تکنیک ثبت شامل ایجاد «علامت‌های» ویژه (به عنوان مثال، صلیب‌ها یا مربع‌ها) روی ماسک‌های نوری است که به الگوی روی اکسید تبدیل می‌شوند و از طریق یک لایه نازک مقاوم در برابر نور قابل مشاهده هستند. هنگام استفاده از ماسک نوری بعدی، با دقت (زیر میکروسکوپ) علائم روی اکسید با علائم مشابه روی ماسک نوری تراز می شوند.

فرآیند فوتولیتوگرافی در نظر گرفته شده برای به دست آوردن ماسک های اکسید روی ویفرهای سیلیکونی به منظور انتشار موضعی بعدی معمول است. در این مورد، ماسک مقاوم به نور متوسط ​​و کمکی است، زیرا نمی تواند دمای بالایی را که در آن انتشار انجام می شود، تحمل کند. با این حال، در برخی موارد، زمانی که فرآیند در دماهای پایین اتفاق می افتد، ماسک های مقاوم به نور می توانند اصلی ترین آنها باشند - ماسک های کار. به عنوان مثال فرآیند ایجاد سیم کشی فلزی در آی سی های نیمه هادی است.

هنگام استفاده از ماسک نوری، لایه امولسیونی آن پس از 15-20 بار مصرف فرسوده می شود (پاک می شود). طول عمر ماسک های نوری را می توان با متالیزاسیون دو مرتبه یا بیشتر افزایش داد: جایگزینی فیلم فوتومولسیون با یک فیلم فلزی مقاوم در برابر سایش، معمولاً کروم.

ماسک های نوری در مجموعه هایی با توجه به تعداد عملیات فوتولیتوگرافی در چرخه تکنولوژی تولید می شوند. در داخل مجموعه، ماسک‌های عکس با هم هماهنگ می‌شوند، به‌عنوان مثال، هنگام تراز شدن علائم مربوطه، از هم‌ترازی نقشه‌ها اطمینان می‌دهند.

3.4.2 لیتوگرافی الکترونی.روش های توصیف شده از دیرباز یکی از پایه های فناوری میکروالکترونیک بوده است. آنها هنوز اهمیت خود را از دست نداده اند. با این حال، با افزایش درجه یکپارچگی و کاهش اندازه عناصر IS، تعدادی از مشکلات به وجود آمده است که برخی از آنها قبلاً حل شده اند و برخی از آنها در دست مطالعه هستند.

یکی از محدودیت های اصلی مربوط می شود وضوح، یعنی حداقل ابعاد در الگوی ماسک ایجاد شده. واقعیت این است که طول موج نور فرابنفش 0.3-0.4 میکرون است. در نتیجه، هرچقدر هم که سوراخ در الگوی ماسک نوری کوچک باشد، ابعاد تصویر این سوراخ در مقاومت نوری نمی‌تواند به مقادیر مشخص شده (به دلیل پراش) برسد. بنابراین، حداقل عرض عناصر حدود 2 میکرون، و در اشعه ماوراء بنفش عمیق (طول موج 0.2-0.3 میکرون) - حدود 1 میکرون است. در همین حال، اندازه های مرتبه 1-2 میکرون دیگر هنگام ایجاد آی سی های بزرگ و فوق بزرگ به اندازه کافی کوچک نیستند.

واضح‌ترین راه برای افزایش وضوح لیتوگرافی استفاده از تابش طول موج کوتاه‌تر در طول نوردهی است.

در سال های اخیر روش هایی توسعه یافته است لیتوگرافی الکترونیکی . ماهیت آنها این است که یک پرتو متمرکز از الکترون ها است اسکن کنید(به عنوان مثال، آنها "خط به خط" حرکت می کنند) در امتداد سطح صفحه ای که با مقاومت الکترونی پوشانده شده است، و شدت پرتو مطابق با یک برنامه مشخص کنترل می شود. در نقاطی که باید "در معرض" قرار گیرند، جریان پرتو حداکثر است و در نقاطی که باید "تاریک" شوند، صفر است. قطر پرتو الکترونی به طور مستقیم به جریان در پرتو بستگی دارد: هر چه قطر کمتر باشد، جریان کمتر است. با این حال، با کاهش جریان، زمان نوردهی افزایش می یابد. بنابراین، افزایش وضوح (کاهش قطر پرتو) با افزایش مدت زمان فرآیند همراه است. به عنوان مثال، با قطر پرتو 0.2-0.5 میکرومتر، زمان اسکن یک ویفر، بسته به نوع مقاومت الکترونی و اندازه ویفر، می تواند از ده ها دقیقه تا چند ساعت متغیر باشد.

یکی از انواع لیتوگرافی الکترونی مبتنی بر کنار گذاشتن ماسک های مقاوم در برابر الکترون است و شامل عمل یک پرتو الکترونی مستقیماً روی لایه اکسید SiO2 است. به نظر می رسد که در مناطق "در معرض قرار گرفتن" این لایه متعاقباً چندین برابر سریعتر از مناطق "تاریک" حک می شود.

حداقل ابعاد برای لیتوگرافی الکترونی 0.2 میکرون است، اگرچه حداکثر قابل دستیابی 0.1 میکرون است.

سایر روش های لیتوگرافی در دست تحقیق هستند، به عنوان مثال، اشعه ایکس نرم (با طول موج 1-2 نانومتر) امکان به دست آوردن حداقل اندازه های 0.1 میکرومتر و لیتوگرافی پرتو یونی 0.03 میکرومتر را فراهم می کند.

3.5 آلیاژسازی

ورود ناخالصی ها به ویفر اصلی (یا به لایه اپیتاکسیال) با انتشار در دماهای بالا روش اصلی و همچنان اصلی دوپینگ نیمه هادی ها به منظور ایجاد ساختارهای ترانزیستوری و سایر عناصر بر اساس آنها است. با این حال، اخیراً یکی دیگر از روش های دوپینگ گسترده شده است - کاشت یون.

3.5.1 روش های انتشار.انتشار می تواند عمومی و موضعی باشد. در مورد اول، در کل سطح ویفر (شکل 3.4a) انجام می شود (شکل 3.4a) و در مورد دوم - در مناطق خاصی از ویفر از طریق پنجره های ماسک، به عنوان مثال، در یک لایه ضخیم از SiO2 (شکل 3.4b) .

انتشار عمومی منجر به تشکیل یک لایه انتشار نازک در صفحه می شود که با لایه همپایی در توزیع ناهمگن (در عمق) ناخالصی ها متفاوت است (منحنی های N(x) را در شکل های 3.6a و b ببینید).

شکل 3.4 - انتشار عمومی و موضعی

در مورد انتشار موضعی (شکل 3.4b)، ناخالصی نه تنها در اعماق صفحه، بلکه در تمام جهات عمود، یعنی در زیر ماسک پخش می شود. در نتیجه این به اصطلاح انتشار جانبی، ناحیه اتصال p-n که روی سطح ظاهر می شود به طور خودکار توسط اکسید محافظت می شود. . رابطه بین عمق جانبی و اصلی -

انتشار "عمودی" به عوامل متعددی از جمله عمق لایه انتشار بستگی دارد. . یک مقدار معمولی برای عمق انتشار جانبی را می توان 0.8×L در نظر گرفت .

انتشار را می توان یک بار یا بارها انجام داد. به عنوان مثال، در طی انتشار اول، می توان یک ناخالصی پذیرنده را به صفحه اولیه نوع n وارد کرد و یک لایه p بدست آورد و سپس در طی انتشار دوم، ناخالصی دهنده را به لایه p وارد کرد (به یک عمق کمتر) و در نتیجه یک ساختار سه لایه ایجاد می کند. بر این اساس، بین انتشار دوگانه و سه گانه تمایز قائل شد (به بخش 4.2 مراجعه کنید).

هنگام انجام انتشار چندگانه، باید در نظر داشت که غلظت هر ناخالصی جدید معرفی شده باید از غلظت قبلی بیشتر باشد، در غیر این صورت نوع هدایت تغییر نمی کند، به این معنی که یک اتصال p-n تشکیل نمی شود. در همین حال، غلظت ناخالصی در سیلیکون (یا سایر مواد منبع) نمی تواند به اندازه دلخواه باشد: توسط یک پارامتر خاص محدود شده است. - حد حلالیت ناخالصیN.S.. حد حلالیت بستگی به دما دارد. در دمای معین به حداکثر مقدار می رسد و سپس دوباره کاهش می یابد. حداکثر حدود حلالیت همراه با دماهای مربوطه در جدول 3.1 آورده شده است.

جدول 3.1

بنابراین، اگر انتشار چندگانه انجام شود، برای آخرین انتشار لازم است ماده ای با حداکثر حلالیت محدود انتخاب شود. از آنجایی که محدوده مواد ناخالص محدود است،

امکان ارائه بیش از 3 انتشار متوالی وجود ندارد.

ناخالصی های وارد شده توسط انتشار نامیده می شوند دیفیوزرها(بور، فسفر و غیره). منابع انتشار دهنده ها ترکیبات شیمیایی آنهاست. اینها می توانند مایعات (BBr3، POCl)، جامدات (B2O3، P2O5) یا گازها (B2H6، PH3) باشند.

معرفی ناخالصی‌ها معمولاً با استفاده از واکنش‌های انتقال گاز، درست مانند اپیتاکسی و اکسیداسیون انجام می‌شود. برای این منظور یا تک منطقه ای یا دو منطقه ای کوره های انتشار.

در مورد پخش کننده های جامد از کوره های دو ناحیه ای استفاده می شود. در چنین کوره هایی (شکل 3.5) دو ناحیه با دمای بالا وجود دارد، یکی برای تبخیر منبع انتشار و دومی برای خود انتشار.

شکل 3.5 - فرآیند انتشار

بخارات منبع انتشار به دست آمده در منطقه 1 با جریان یک گاز حامل خنثی (مثلاً آرگون) مخلوط می شوند و همراه با آن به منطقه 2 می رسند، جایی که ویفرهای سیلیکونی در آن قرار دارند. دما در منطقه 2 بیشتر از 1 است. در اینجا، اتم های پخش کننده به صفحات وارد می شوند و سایر اجزای ترکیب شیمیایی توسط گاز حامل از منطقه خارج می شوند.

در مورد منابع پخش کننده مایع و گاز، نیازی به تبخیر آنها در دمای بالا نیست. بنابراین از کوره های تک ناحیه ای مانند اپیتاکسی استفاده می شود که منبع پخش کننده در حالت گازی وارد آن می شود.

هنگام استفاده از منابع مایع پخش کننده، انتشار در یک محیط اکسید کننده با افزودن اکسیژن به گاز حامل انجام می شود. اکسیژن سطح سیلیکون را اکسید می کند و اکسید SiO2، یعنی در اصل شیشه را تشکیل می دهد. در حضور یک انتشار دهنده (بور یا فسفر)، بوروسیلیکاتیا فسفوسیلیکاتشیشه. در دمای بالای 1000 درجه سانتیگراد، این شیشه ها در حالت مایع هستند و سطح سیلیکون را با یک لایه نازک می پوشانند. , به طوری که انتشار ناخالصی، به طور دقیق، از فاز مایع حاصل می شود. پس از سخت شدن، شیشه از سطح سیلیکون در نقاط انتشار محافظت می کند.

یعنی در پنجره های ماسک اکسید. هنگام استفاده از منابع جامد پخش کننده - اکسیدها - تشکیل شیشه در طی فرآیند انتشار بدون اکسیژن معرفی شده خاص اتفاق می افتد.

دو مورد از توزیع ناخالصی در لایه انتشار وجود دارد.

1 مورد منبع نامحدود نجاست.در این حالت، دیفیوزر به طور مداوم به صفحه جریان می یابد، به طوری که در لایه نزدیک به سطح آن، غلظت ناخالصی ثابت و برابر با NS حفظ می شود. با افزایش زمان انتشار، عمق لایه انتشار افزایش می یابد (شکل 3.6a).

2 مورد منبع محدود نجاست.در این حالت ابتدا تعداد معینی از اتم های منتشر کننده به لایه سطحی نازک صفحه وارد می شوند (زمان t1) و سپس منبع پخش کننده خاموش می شود و اتم های ناخالصی با تعداد کل خود در سراسر عمق صفحه توزیع می شوند. بدون تغییر (شکل 3.6b). در این حالت غلظت ناخالصی روی سطح کاهش می یابد و عمق لایه انتشار افزایش می یابد (منحنی های t2 و t3). مرحله اول فرآیند "درایو" نامیده می شود، مرحله دوم - "پراکندگی" ناخالصی.

شکل 3.6 - توزیع پخش کننده

3.5.2 کاشت یون.

کاشت یون روشی برای دوپینگ یک ویفر (یا لایه همپایی) با بمباران آن با یون های ناخالصی است که به انرژی کافی برای نفوذ آنها در اعماق جامد شتاب می دهد.

یونیزاسیون اتم های ناخالصی، شتاب یون ها و تمرکز پرتو یونی در تاسیسات ویژه ای مانند شتاب دهنده های ذرات در فیزیک هسته ای انجام می شود. همان مواد مورد استفاده برای انتشار به عنوان ناخالصی استفاده می شود.

عمق نفوذ یون به انرژی و جرم آنها بستگی دارد. هر چه انرژی بیشتر باشد، ضخامت لایه کاشته شده بیشتر می شود. با این حال، با افزایش انرژی، مقدار آن نیز افزایش می یابد نقص تشعشعدر کریستال، یعنی پارامترهای الکتریکی آن بدتر می شود. بنابراین انرژی یونی به 100-150 کو محدود می شود. سطح پایین تر 5-10 کو است. با این محدوده انرژی، عمق لایه ها در محدوده 0.1 - 0.4 میکرومتر قرار دارد، یعنی به طور قابل توجهی کمتر از عمق معمول لایه های انتشار است.

غلظت ناخالصی در لایه کاشته شده بستگی به چگالی جریان در پرتو یونی و زمان فرآیند یا به قول خودشان بر روی زمان نمایشگاه-موقعیت هابسته به چگالی جریان و غلظت مورد نظر، زمان نوردهی از چند ثانیه تا 3-5 دقیقه یا بیشتر (گاهی تا

1-2 ساعت). البته هر چه زمان قرار گرفتن در معرض بیشتر باشد، تعداد عیوب تشعشع بیشتر می شود.

یک توزیع معمولی ناخالصی در طول کاشت یون در شکل 3.6c، منحنی جامد نشان داده شده است. همانطور که می بینیم، این توزیع به طور قابل توجهی با توزیع انتشار با وجود حداکثر در یک عمق مشخص تفاوت دارد.

از آنجایی که مساحت پرتو یون (1-2 میلی متر مربع) کوچکتر از مساحت صفحه (و گاهی اوقات کریستال) است، لازم است اسکن کنیدپرتو، یعنی آن را به آرامی یا در "گام" (با استفاده از سیستم های انحراف ویژه) به طور متناوب در امتداد تمام "ردیف های" صفحه که IC های جداگانه روی آن قرار دارند حرکت دهید.

پس از اتمام فرآیند آلیاژسازی، صفحه باید تحت تأثیر قرار گیرد بازپختدر دمای درجه سانتیگراد به منظور نظم دادن به شبکه کریستالی سیلیکون و حذف (حداقل تا حدی) عیوب تابش اجتناب ناپذیر. در دمای بازپخت، فرآیندهای انتشار اندکی نمایه توزیع را تغییر می دهند (منحنی نقطه چین را در شکل 3.6c ببینید).

کاشت یون از طریق ماسک هایی انجام می شود که در آن طول مسیر یون باید به طور قابل توجهی کوتاهتر از سیلیکون باشد. مواد ماسک می تواند دی اکسید سیلیکون یا آلومینیوم باشد که در آی سی ها رایج است. در عین حال، یک مزیت مهم کاشت یون این است که یون ها که در یک خط مستقیم حرکت می کنند، فقط به عمق صفحه نفوذ می کنند و قیاس انتشار جانبی (زیر یک ماسک) عملاً وجود ندارد.

در اصل، کاشت یون، مانند انتشار، می تواند به طور مکرر انجام شود، و یک لایه را در لایه دیگر "جاسازی" کند. با این حال، ترکیب انرژی‌ها، زمان‌های نوردهی و حالت‌های بازپخت مورد نیاز برای کاشت‌های متعدد دشوار است. بنابراین، کاشت یون در ایجاد لایه های نازک منفرد گسترده شده است.

3.6 کاربرد لایه های نازک

لایه های نازک نه تنها اساس IC های هیبریدی لایه نازک هستند، بلکه به طور گسترده در مدارهای مجتمع نیمه هادی استفاده می شوند. بنابراین، روش‌های تولید لایه‌های نازک به مسائل کلی فناوری میکروالکترونیک مربوط می‌شود.

سه روش اصلی برای اعمال لایه های نازک روی یک بستر و روی یکدیگر وجود دارد: حرارتی(خلاء) و پلاسمای یونی سمپاشی،که دو نوع دارد: کندوپاش کاتدو خود یون پلاسما

3.6.1 پاشش حرارتی (خلاء).

اصل این روش کندوپاش در شکل 3.7a نشان داده شده است. یک درپوش فلزی یا شیشه ای 1 روی صفحه پایه 2 قرار دارد. بین آنها یک واشر 3 وجود دارد که حفظ خلاء را پس از پمپاژ هوا از فضای زیرپوش تضمین می کند. زیرلایه 4 که پاشش روی آن انجام می شود، روی نگهدارنده 5 نصب شده است. . نگهدارنده در مجاورت گرمایش است و کندوپاش روی یک بستر گرم شده انجام می شود). اواپراتور 7 شامل یک بخاری و یک منبع ماده اسپری شده است. دمپر چرخشی 8 جریان بخار را از اواپراتور به زیرلایه مسدود می کند: پاشش تا زمانی که دمپر باز است ادامه دارد.

بخاری معمولاً یک نخ یا مارپیچ ساخته شده از فلز نسوز (تنگستن، مولیبدن و غیره) است که جریان به اندازه کافی زیاد از آن عبور می کند. منبع ماده اسپری شده به روش های مختلف به بخاری متصل می شود: به شکل براکت ("هوسار") که روی رشته آویزان شده است. به شکل میله های کوچک پوشیده شده توسط یک مارپیچ، به شکل پودر ریخته شده در

شکل 3.7 - کاربرد فیلم ها

بوته ای که توسط مارپیچ گرم می شود و غیره. اخیراً به جای رشته ها از گرمایش با استفاده از پرتو الکترونی یا پرتو لیزر استفاده شده است.

مطلوب ترین شرایط برای تراکم بخار بر روی بستر ایجاد می شود، اگرچه تراکم جزئی نیز در دیواره های هود رخ می دهد. دمای بسیار پایین بستر مانع از توزیع یکنواخت اتم های جذب شده می شود: آنها در "جزایر" با ضخامت های مختلف گروه بندی می شوند که اغلب به یکدیگر متصل نیستند. برعکس، دمای بیش از حد سطح بستر منجر به جدا شدن اتم‌های تازه رسوب‌شده و «تبخیر مجدد» آنها می‌شود. بنابراین، برای به دست آوردن یک فیلم با کیفیت بالا، دمای بستر باید در محدوده بهینه معینی باشد (معمولاً 200-400 درجه سانتیگراد). نرخ رشد فیلم بسته به تعدادی از عوامل (دمای بستر، فاصله از اواپراتور تا زیرلایه، نوع ماده ته نشین شده و غیره) از دهم تا ده ها نانومتر در ثانیه متغیر است.

استحکام باند - چسبندگی فیلم به بستر یا فیلم دیگر - نامیده می شود چسبندگی. برخی از مواد رایج (مانند طلا) چسبندگی ضعیفی به بسترهای معمولی از جمله سیلیکون دارند. در چنین مواردی به اصطلاح زیر لایهبا چسبندگی خوب مشخص می شود و سپس مواد پایه روی آن پاشیده می شود که چسبندگی خوبی نیز به زیر لایه دارد. به عنوان مثال، برای طلا، زیرلایه می تواند نیکل یا تیتانیوم باشد.

برای اینکه اتم های ماده پاشیده شده از اواپراتور به زیرلایه پرواز حداقل تعداد برخورد با اتم های گاز باقیمانده و در نتیجه حداقل پراکندگی را تجربه کنند، باید از خلاء کافی در فضای زیر درپوش اطمینان حاصل شود. ملاک خلاء مورد نیاز می تواند شرطی باشد که میانگین مسیر آزاد اتم ها چندین برابر فاصله بین اواپراتور و بستر باشد. با این حال، این شرایط اغلب کافی نیست، زیرا هر مقدار گاز باقیمانده مملو از آلودگی فیلم اسپری شده و تغییر در خواص آن است. بنابراین اصولاً خلاء در سیستم های اسپری حرارتی باید تا حد امکان زیاد باشد. در حال حاضر خلاء زیر 10-6 میلی متر جیوه است. هنر غیر قابل قبول تلقی می شود و در تعدادی از تاسیسات سمپاشی درجه یک به 10-11 میلی متر جیوه رسیده است. هنر

ماده اصلی که IC های نیمه هادی بر اساس آن ساخته می شوند سیلیکون است، زیرا بر اساس آن می توان یک فیلم دی اکسید سیلیکون با کارایی بالا و روش های نسبتا ساده به دست آورد.

علاوه بر این، باید مزایای دیگر سیلیکون را در مقایسه با ژرمانیوم در نظر داشت: شکاف نواری بزرگتر و در نتیجه تأثیر کمتر دما، جریان های معکوس کمتر حامل های بار اقلیت. ثابت دی الکتریک کمتر، بنابراین، ظرفیت های مانع کمتر، همه چیزهای دیگر برابر هستند.

برای دادن نوع خاصی از رسانایی به سیلیکون، ناخالصی های دهنده و گیرنده به کریستال وارد می شوند که در نتیجه در هر ناحیه از P- یا N-سیلیکون حامل های بار اکثریت و اقلیت وجود دارد. حرکت حامل های بار در ساختارهای IC نیمه هادی به طور معمول اتفاق می افتد: یا به شکل انتشار به دلیل تفاوت در غلظت حامل های بار، یا به شکل رانش تحت تأثیر نیروهای میدان الکتریکی. در اتصالات PN حاصل، پدیده های معمولی که قبلاً توضیح داده شد رخ می دهد.

تکنولوژی اصلی برای ساخت آی سی های نیمه هادی مسطح است. خواص آی سی ها تا حد زیادی با تکنولوژی ایجاد آنها تعیین می شود.

اجازه دهید تنها برخی از ویژگی های استفاده از فناوری مسطح در ساخت IC ها را در نظر بگیریم.

تمیز کردن سطح.باید در نظر داشت که هرگونه آلودگی سطح زیرلایه بر خواص آی سی و قابلیت اطمینان آن تأثیر منفی می گذارد. همچنین باید در نظر گرفت که ابعاد عناصر آی سی با کوچکترین ذره گرد و غبار قابل مقایسه است. از این رو نیاز به تمیز کردن کامل سطح است. تمیز کردن با استفاده از حلال های آلی برای تمیز کردن کامل تر، از روش های اولتراسونیک استفاده می شود، زیرا ارتعاش انحلال آلاینده ها را تسریع می کند. در مرحله آخر، ویفرهای سیلیکونی با آب دیونیزه شسته می شوند.

اکسیداسیون حرارتی سطح. این برای ایجاد یک لایه محافظ روی سطح ویفر انجام می شود که در طول فرآیند ایجاد IC از سطح در برابر تأثیرات محیطی محافظت می کند. در آی سی های مبتنی بر ترانزیستورهای MOS، فیلم به دست آمده از اکسیداسیون به عنوان دی الکتریک برای گیت عمل می کند.

آلیاژی. این ورود ناخالصی ها به سیلیکون خالص برای ایجاد اتصالات به منظور ایجاد ساختارهای دیود و ترانزیستور است. دو راه برای دوپینگ وجود دارد - استفاده از انتشار و معرفی یون های ناخالص.

اخیراً روش کاشت یون به دلیل تعدادی از مزایای آن، در درجه اول دماهای پایین تر در مقایسه با روش انتشار، به طور گسترده مورد استفاده قرار گرفته است.

ماهیت روش، وارد کردن یون های ناخالصی به ویفر سیلیکون خالص است که در گره های شبکه کریستالی جای می گیرند. یون های ناخالصی در تاسیسات ویژه ایجاد، شتاب، متمرکز و منحرف می شوند و با افتادن روی سطح صفحه، آن را بمباران می کنند و خود را به ساختار کریستالی شبکه معرفی می کنند. انحراف در یک میدان مغناطیسی ایجاد می شود. به یاد بیاوریم که شعاع انحراف به جرم ذرات باردار بستگی دارد. بنابراین، اگر یون های خارجی در پرتو متمرکز وجود داشته باشد، در طول مسیرهای دیگر منحرف می شوند و از پرتو اصلی ناخالصی دهنده یا گیرنده جدا می شوند. این یکی دیگر از مزایای این روش است - خلوص بالای ناخالصی ها.

فتولیتوگرافی. به شما امکان می دهد ترتیب خاصی از عناصر را بدست آورید و یکی از مشخص ترین فرآیندهای تکنولوژیکی برای ایجاد یک IC است. بیایید به یاد بیاوریم که فوتولیتوگرافی مبتنی بر استفاده از خواص حساس به نور مواد خاصی به نام مقاوم نور است.

با توسعه فناوری آی سی، معایب ذاتی این روش بیشتر و بیشتر می شود: امکان به دست آوردن حداقل ابعاد الگوی روی ماسک نوری و تماس مکانیکی ماسک نوری با ویفر نیمه هادی منجر به اعوجاج الگو می شود.

اخیراً روش لیتوگرافی الکترونی توسعه یافته است. این بر اساس حرکت یک پرتو الکترونی متمرکز در سراسر سطح یک ویفر با پوشش مقاوم است. جریان پرتو توسط ولتاژ کنترل می شود که بسته به اینکه پرتو در کجای سطح قرار دارد متفاوت است. اگر لازم است یک پنجره به دست آورید، جریان پرتو در مناطقی که باید بدون تغییر باقی بماند، حداکثر است، جریان پرتو نزدیک به صفر است.

متالیزاسیون برای ایجاد اتصالات درون مدار در آی سی ها.اتصالات درون مدار در آی سی ها با استفاده از لایه های فلزی نازک که بر روی اکسید سیلیکون که یک عایق است، رسوب می کنند. آلومینیوم، که دارای رسانایی ویژه بالا، عدم خوردگی، و امکان تماس های جوش داده شده با سرنخ های خارجی است، برای الزامات اساسی عنصر اتصال برای آی سی ها مناسب ترین است.

ایجاد ریلیل مورد نظر اتصالات فلزی با استفاده از فتولیتوگرافی انجام می شود. یک فیلم پیوسته از آلومینیوم روی سطح اکسید سیلیکون اعمال می شود. این فیلم با فوتوریست پوشانده می شود، یک ماسک نوری در بالای آن قرار می گیرد و سپس آلومینیوم بریده می شود و تنها نوارهایی باقی می ماند که تماس های مناسبی را با لایه های زیرلایه در پنجره های قبلی ساخته شده ایجاد می کند تا ساختار لایه مورد نظر در آی سی به دست آید.

تجزیه و تحلیل عملیات اصلی نشان می دهد که همه آنها به سه مورد اصلی کاهش می یابد - عملیات حرارتی، عملیات شیمیایی و فوتولیتوگرافی. ایجاد یک فیلم دی اکسید سیلیکون که در حین ایجاد آی سی از اتصالات از محیط محافظت می کند، عامل مهمی در تضمین پایداری پارامترها و قابلیت اطمینان آی سی است.

با تغییر الگوی ماسک نوری و حالت عملیات حرارتی می توانید مدارهای آی سی مختلفی ایجاد کنید. ساختار اصلی برای ساخت عناصر IC ترانزیستورهای دوقطبی و MOS هستند.

توضیحات مدار

1. رتبه بندی عناصر غیرفعال:

R6 = R11 = 4.7 کیلو اهم

  • 2. T1، T2، T3، T4، T5 - ترانزیستورهای n-p-n آی سی. T6 - آی سی ترانزیستور pnp؛
  • 3. s=200 اهم/کیلو ولت
  • 4. ولتاژ تغذیه 15 ولت
  • 5. تکنولوژی Planar-Epitaxial.
  • 6. جداسازی توسط اتصال p-n.

پین 6 - قدرت؛ پین 1 - زمین.

تکنولوژی ساخت آی سی

هر عنصر از آی سی های نیمه هادی را می توان بر اساس حداکثر سه اتصال p-n و چهار لایه از دو نوع هدایت الکتریکی (الکترونیکی و سوراخ) ایجاد کرد. جداسازی عناصر اغلب با استفاده از یک اتصال p-n بایاس معکوس انجام می شود. اصل این روش عایق به این صورت است که با اعمال پتانسیل منفی زیاد به بستر p، یک اتصال p-n با بایاس معکوس در مرز نواحی جمع کننده و بستر p به دست می آید. مقاومت اتصال p-n بایاس معکوس زیاد است و به MOhm می رسد، بنابراین عناصر به خوبی از یکدیگر جدا شده اند.

فناوری تولید آی سی های نیمه هادی فرآیند پیچیده ای است که ده ها عملیات را شامل می شود و توصیف کامل آن در یک کار کوتاه دستی و دوره ای غیرممکن است.

بنابراین، ما یک مسیر کوتاه شده برای ساخت یک آی سی با عناصر ایزوله و اتصالات pn بایاس معکوس با استفاده از فناوری همپایی مسطح در نظر خواهیم گرفت. عملیات جداسازی عناصر به روش گروهی، ترکیب شده با فناوری ساخت IC به طور کلی انجام می شود و با روش انتشار جداسازی (عایق) در کل عمق لایه همپایی اجرا می شود. این فناوری امکان به دست آوردن درجه دوپینگ مورد نیاز کلکتور و بستر را مستقل از یکدیگر فراهم می کند. با انتخاب یک بستر با مقاومت بالا و یک لایه همپایی با مقاومت نه چندان بالا (کلکتور)، می توان از ظرفیت بهینه اتصال کلکتور-پایه و ولتاژ شکست آن اطمینان حاصل کرد. وجود یک لایه اپیتاکسیال به شما امکان می دهد ضخامت و مقاومت کلکتور را دقیقاً تنظیم کنید ، که با این حال ، بسیار زیاد است (70-100 اهم). کاهش مقاومت کلکتور با ایجاد یک لایه مدفون n+ دوپ شده با انتشار یک ناخالصی نوع n در بستر p قبل از ایجاد لایه همپایی حاصل می شود. این لایه یک مسیر جریان با مقاومت کم از ناحیه کلکتور فعال به تماس کلکتور بدون کاهش ولتاژ شکست اتصال کلکتور-پایه فراهم می کند.

دنباله عملیات فناوری مسطح-همپایی برای تولید آی سی های نیمه هادی دوقطبی با عایق بندی عناصر توسط اتصالات p-n:

  • 1) عملیات سطح مکانیکی سمت کار یک ویفر سیلیکونی نوع p تا درجه خلوص 14 و اچ کردن در بخار HCl برای حذف لایه آسیب دیده. ویفرهای Si ابتدا تا ضخامت مشخص آسیاب می شوند، سپس صیقل داده می شوند، اچ شده و شسته می شوند.
  • 2) اکسیداسیون برای ایجاد یک ماسک محافظ در حین انتشار ناخالصی های نوع n. یک فیلم متراکم از دی اکسید سیلیکون (SiO2) روی سطح سیلیکون رشد می کند که دارای ضریب انبساط حرارتی نزدیک به سیلیکون است که به آن اجازه می دهد به عنوان ماسکی برای انتشار استفاده شود. پیشرفته ترین روش از نظر تکنولوژیکی برای تولید فیلم های SiO2، اکسیداسیون حرارتی سطح سیلیکون است. از اکسیژن خشک یا مرطوب کننده یا بخار آب به عنوان یک محیط اکسید کننده استفاده می شود. دمای محل کار در طول اکسیداسیون 1100-1300 درجه سانتیگراد است. اکسیداسیون با استفاده از روش لوله باز در جریان اکسید کننده انجام می شود. در اکسیژن خشک، کامل ترین لایه اکسیدی از نظر ساختاری رشد می کند، اما فرآیند اکسیداسیون کند است (در دمای T = 1200C، ضخامت لایه SiO2 0.1 میکرون است). در عمل، انجام اکسیداسیون در سه مرحله توصیه می شود: در اکسیژن خشک، اکسیژن مرطوب و دوباره در اکسیژن خشک. برای تثبیت خواص لایه های اکسید محافظ در طی فرآیند اکسیداسیون، اسید بوریک، دی اکسید تیتانیوم و ... به محیط اکسیژن مرطوب یا بخار آب اضافه می شود.

3) فوتولیتوگرافی برای باز کردن پنجره ها در اکسید و انجام انتشار موضعی در مکان هایی که لایه های پنهان تشکیل می شوند (شکل 3). فوتولیتوگرافی ایجاد یک ماسک محافظ کوچک با تقریباً هر پیچیدگی روی سطح یک بستر است که متعاقباً برای انتشار، اپیتاکسی و سایر فرآیندها استفاده می شود. این ماده با استفاده از یک لایه خاص به نام فوتوریست تشکیل می شود - ماده ای که ساختار خود را تحت تأثیر نور تغییر می دهد. بر اساس توانایی آنها در تغییر خواص بر اثر تابش، فوتوریست ها را می توان به عنوان منفی یا مثبت طبقه بندی کرد.

مقاومت نوری باید به تشعشع حساس باشد، دارای وضوح بالا و مقاومت اسیدی باشد.

با استفاده از یک سانتریفیوژ، یک لایه مقاوم به نور روی سطح سیلیکون اکسید شده با ضخامت اکسید 3000-6000 گرم اعمال می شود. فترزیست ابتدا در دمای اتاق و سپس در دمای 100-150 درجه سانتیگراد خشک می شود.

بستر با یک ماسک نوری ترکیب شده و روشن می شود. مقاومت نوری در معرض توسعه یافته و سپس در آب دیونیزه شسته می شود. فوتورزیست باقیمانده در دمای اتاق و در دمای 200 درجه سانتیگراد به مدت یک ساعت سخت می شود و پس از آن سطح سیلیکون اکسید شده در مکان هایی مطابق با الگوی ماسک نوری در معرض دید قرار می گیرد.

4) انتشار برای ایجاد یک لایه n+ پنهان (شکل 4). انتشار محلی یکی از عملیات های تکنولوژیکی اصلی در هنگام ایجاد آی سی های نیمه هادی است. فرآیند انتشار، پروفایل غلظت ساختار انتگرال و پارامترهای اصلی اجزای IC را تعیین می کند. انتشار در کریستال های نیمه هادی حرکت هدایت شده اتم های ناخالصی در جهت کاهش غلظت آنها است. در یک دمای معین، سرعت انتشار توسط ضریب انتشار تعیین می شود که برابر است با تعداد اتم هایی که از مقطع 1 سانتی متر مربع در 1 ثانیه با گرادیان غلظت 1 سانتی متر-4 عبور می کنند. بور و فسفر عمدتاً به عنوان ناخالصی های ناخالصی در سیلیکون استفاده می شوند که بور ناخالصی های نوع گیرنده و فسفر ناخالصی های نوع دهنده ایجاد می کند. برای بور و فسفر انرژی فعال سازی به ترتیب 3.7 و 4.4 eV است.

در تولید آی سی ها دو نوع انتشار اجرا می شود. انتشار منبع نامحدود اولین مرحله از انتشار است که مقدار مشخصی ناخالصی را وارد نیمه هادی می کند. این فرآیند نامیده می شود ناخالصی های رانندگی.

برای ایجاد توزیع معینی از ناخالصی ها در عمق و روی سطح نیمه هادی، مرحله دوم انتشار از یک منبع محدود انجام می شود. این فرآیند نامیده می شود تقطیر ناخالصی.

5) حذف اکسید و آماده سازی سطح قبل از فرآیند اپیتاکسی (شکل 5).

6) تشکیل یک ساختار اپیتاکسیال (شکل 6). اپیتاکسی فرآیند رشد تک کریستال روی یک بستر جهت‌دهنده است. لایه اپیتاکسیال شبکه کریستالی زیرلایه را ادامه می دهد. ضخامت آن می تواند از تک لایه تا چند ده میکرون باشد. یک لایه اپیتاکسیال از سیلیکون را می توان روی خود سیلیکون رشد داد. این فرآیند اتو- یا هومواپیتاکسی نامیده می شود. بر خلاف اتواپیتاکسی، فرآیند رشد لایه های تک کریستالی بر روی بسترهایی که از نظر ترکیب شیمیایی متفاوت هستند، هترو اپیتاکسی نامیده می شود.

فرآیند اپیتاکسیال امکان به دست آوردن لایه های نیمه هادی را فراهم می کند که از نظر غلظت ناخالصی یکنواخت و با انواع مختلف رسانایی (اعم از الکترونیکی و سوراخ) هستند. غلظت ناخالصی‌ها در لایه می‌تواند بیشتر یا کمتر از بستر باشد، که این امر امکان دست‌یابی به لایه‌های با مقاومت بالا را روی یک بستر با مقاومت پایین می‌دهد. در تولید، لایه های همپایه با واکنش بخارات ترکیب سیلیکونی بر روی سطح بستر با استفاده از واکنش های کاهش SiCl 4، SiBr 4 به دست می آیند. در محفظه واکنش روی سطح بستر، واکنشی در محدوده دمایی 1150-1270 درجه سانتیگراد رخ می دهد.

SiCl4+2H2=Si+4HCl،

در نتیجه سیلیکون خالص به شکل یک رسوب جامد شبکه بستر را تکمیل می کند و ترکیب فرار از محفظه خارج می شود.

فرآیند رشد اپیتاکسیال در تاسیسات خاصی انجام می شود که حجم کاری آن یک لوله کوارتز است و از هیدروژن و نیتروژن به عنوان گاز حامل استفاده می شود.

ضخامت لایه اپیتاکسیال نوع n 10-15 میکرون با مقاومت 0.1-10 اهم * سانتی متر است. جمع کننده های ترانزیستور و جیب های مقاومت در لایه اپیتاکسیال تشکیل می شوند.

7) اکسیداسیون سطح لایه اپیتاکسیال برای ایجاد یک ماسک محافظ در حین انتشار جداسازی (شکل 7).

8) فوتولیتوگرافی برای باز کردن پنجره ها برای انتشار جداسازی (شکل 8).

9) انجام انتشار جداسازی و ایجاد پاکت های ایزوله (شکل 9).

انتشار جداسازی در دو مرحله انجام می شود: مرحله اول (درایو) - در دمای 1100-1150 درجه سانتیگراد، مرحله دوم (پراکندگی) - در دمای 1200-1250 درجه سانتیگراد. از بور به عنوان دیفیوزر استفاده می شود. انتشار جداسازی در تمام عمق لایه اپیتاکسیال رخ می دهد. در این حالت، نواحی نیمه هادی جداگانه جدا شده توسط اتصالات p-n در بستر سیلیکونی تشکیل می شود. در هر منطقه جدا شده، در نتیجه فرآیندهای بعدی، یک عنصر جدایی ناپذیر تشکیل می شود.

10) اکسیداسیون سطح برای فوتولیتوگرافی تحت انتشار پایه (شکل 10).

11) فوتولیتوگرافی برای باز کردن پنجره ها برای انتشار اولیه (شکل 11).

12) تشکیل لایه پایه با انتشار یک ناخالصی نوع p (شکل 12).

13) اکسیداسیون سطح برای چهارمین فتولیتوگرافی (شکل 13).

14) فوتولیتوگرافی برای باز کردن پنجره ها برای انتشار امیتر (شکل 12).

15) تشکیل لایه امیتر با انتشار یک ناخالصی نوع n و همچنین اکسیداسیون بعدی سطح (شکل 15).

انتشار امیتر در یک مرحله در دمای حدود 1050 درجه سانتیگراد انجام می شود. همزمان با قطره چکان ها، مناطقی برای تماس های جمع کننده تشکیل می شود. فسفر به عنوان دوپانت استفاده می شود. ضخامت لایه د؟ 0.5-2.0 میکرون، غلظت پذیرنده N 10 21 سانتی متر -3 برای ایجاد امیتر ترانزیستور، مقاومت کم، کنتاکت کلکتور دوپینگ و غیره استفاده می شود.

16) فتولیتوگرافی پنجم برای باز کردن پنجره های تماسی (شکل 16).

17) کندوپاش فیلم آلومینیومی (شکل 17).

اتصالات عناصر آی سی توسط متالیزاسیون ایجاد می شود. لایه ای از آلومینیوم به ضخامت 1 میکرون با استفاده از تبخیر حرارتی در خلاء بر روی سطح آی سی اعمال می شود.

18) فوتولیتوگرافی برای ایجاد الگوی سیم کشی و اعمال یک لایه دی الکتریک محافظ (شکل 18).

بعد از در فتولیتوگرافی، فلز در محیط نیتروژن در دمای 500 درجه سانتیگراد پخته می شود.

محاسبه اجزای انتگرال